• 통큰쿠폰이벤트-통합
  • 통합검색(1,272)
  • 리포트(1,199)
  • 자기소개서(31)
  • 시험자료(31)
  • 방송통신대(7)
  • 논문(4)

"3진 카운터" 검색결과 21-40 / 1,272건

  • 11. 카운터 설계 예비보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    이 때 또한 Q의 값이 1이면 해당 LED에 불이 들어온다.11-3-3 10진 비동기 카운터 설계16진 비동기 카운터와 리셋 회로를 이용하여 10진 비동기 카운터의 회로도를 그린다. ... 입력 클럭 신호의 1/4에 해당하는 주파수를 가지게 되므로, 0.25MHz이다.11-3-2 8진 비동기 카운터 설계8진 비동기 카운터의 회로도를 그린다. ... 설계실습 계획서11-3-1 4진 비동기 카운터이론부의 그림 14-2의 비동기식 4진 카운터에 1MHz의 구형파 (square wave)를 인가할 때, Q1 신호의 주파수와 Q2 신호의
    리포트 | 4페이지 | 1,000원 | 등록일 2022.09.06
  • 디지털회로실험 동기식 카운터, 비동기식 카운터
    Presettable Up/Down 10진 counter실험 3은 동기식 카운터에 대해 다룬다.동기식 카운터는 비동기식 카운터에 비해 높은 정확성과 신뢰성을 갖는다.모든 플립플롭이 ... - 그림과 같이 회로를 결선한다.- 7490칩의 14번 핀에 클럭펄스를 입력한 후 7-segment로 결과를 관찰한다.실험 3) Presettable Up/Down 10진 counter ... 1Hz의 클럭펄스를 입력한 후에 CK단자, 출력 A, B, C, D에 연결한 LED를 통해 결과를 측정한다.실험2) 비동기 10진 카운터와 디코더를 이용한 7-segment 표시기
    리포트 | 7페이지 | 2,000원 | 등록일 2023.10.24
  • 디지털 회로 응용 - 동기식 카운터3
    동기식 10진 카운터 74160을 이용하여 3→4→5→6→7→8 - - -이 반복되는 카운터 회로를 설계하시오.과제 4. ... 동기식 10진 카운터 74160을 이용하여 4→5→6→7→8→9 - - -가 반복되는 카운터 회로를 설계하시오.(교재 문제9)과제 3. ... 동기식 10진 카운터 74160을 이용하여 0에서 99까지 셀 수 있는 카운터 회로를 설계하시오.(교재 문제8)과제 2.
    리포트 | 3페이지 | 2,000원 | 등록일 2022.12.05
  • 전기전자기초개론실험/기계공학실험 설계 텀프로젝트
    보면, 74LS90은 10진 카운터용, 74LS92는Q _{3}을 접지하여 입력을 0으로 고정시켜 사용하면 6진 카운터용으로 사용할 수 있다는 것을 알 수 있다. ... -초의 십의 자리 6진 카운터 증가 시점 : 초의 일의 자리 10진 카운터가 9에서 0으로 변할 때-분의 일의 자리 10진 카운터 증가 시점 : 초의 십의 자리 6진 카운터가 5에서 ... 초 단위의 10진 카운터가 0에서 9에 도달하면 다시 0으로 돌아가며, 동시에 초의 십의 자리에 해당하는 6진 카운터가 1 증가한다.
    리포트 | 7페이지 | 1,500원 | 등록일 2022.11.29
  • [A+]중앙대 아날로그및디지털회로설계 실습 예비보고서11 카운터 설계
    또한, Q1, Q2, Q3 출력 신호에 LED를 연결하여 카운터의 상태에 따라 LED에 불이 들어오도록 연결한다.4.3 10진 비동기 카운터 설계- 16진 비동기 카운터와 리셋 회로를 ... 동기 카운터의 회로도를 참고하여 16진 동기 카운터의 회로도를 그린다. ... 또한, 입력 신호, Q1 신호, Q2 신호의 파형을 함께 구한다.4.2 8진 비동기 카운터 설계- 8진 비동기 카운터의 회로도를 그린다.
    리포트 | 9페이지 | 1,000원 | 등록일 2022.09.08
  • 아날로그 및 디지털 회로 설계 실습 결과보고서11 카운터 설계
    항목)먼저, 기본적인 회로는 위와 같이 구성하였다. 8진 카운터 설계를 위하여 3개의 flip-flop 소자를 사용하였으며, 상기 회로는 비동기 카운터 이기에, CLK값을 모두 한 ... 카운터 설계(B) 설계실습 계획서를 참고하여 16진 동기 카운터를 결선한다.16진 동기 카운터를 위와 같이 설계하였다. ... 설계실습 방법비동기 8진 카운터 설계(D) 버튼을 한 번씩 눌러 가면서 카운터가 정상적으로 동작하는 지 확인, 그 결과를 제출한다. ( Chattering 방지 회로 추가하여 설계한
    리포트 | 11페이지 | 2,000원 | 등록일 2023.09.05 | 수정일 2023.10.24
  • [A+][예비레포트] 중앙대 아날로그 및 디지털 회로 설계실습 11. 카운터 설계
    10진 비동기 카운터 설계16진 비동기 카운터와 리셋 회로를 이용하여 10진 비동기 카운터의 회로도를 그린다. 11-3-2 의 경우와 마찬가지로 버튼 입력에 따라 카운트가 증가하도록 ... 또한, Q1, Q2, Q3 출력 신호에 LED를 연결하여 카운터의 상태에 따라 LED에 불이 들어오도록 연결한다.이상적인 상황에서 스위치를 ON/OFF 시킬 때 상태가 한번에 바뀌어야 ... 비동기 카운터 설계8진 비동기 카운터의 회로도를 그린다.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.04.08
  • 디지털 회로 실험-비동기식 카운터
    JK 플립플롭 4개를 사용하여 16진 Down 카운터 회로이다. 실험3은 비동기식 10진 카운터 회로로 JK플립플롭 4개와 AND 게이트를 사용한 회로이다. ... -비동기식 10진 카운터 : 클럭신호를 공급하면 숫자가 0~9까지 변화했다가 다시 0으로 가서 동작되는 카운터 이다.3. 실험순서실험순서1. ... 실험순서3 (비동기식 10진 카운터 회로)의 입력(Cp)에 펄스를 인가했을 때 나오는 출력(D~A)을 시뮬레이션하여 첨부하시오.대면실험 순서1.
    리포트 | 12페이지 | 2,000원 | 등록일 2022.09.10
  • 12. Stopwatch 설계 결과보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    (C) 이상의 과정이 완료 되면 조교의 확인을 받는다.12-4-3 3자리 숫자 표시(시간표현) 카운터 설계(A) 10진 카운터 3개를 연결, 3자리 카운터를 만든다. ... 로직을 꾸며 리셋 신호에 인가) 즉 10진 카운터, 6진 카운터, 10진 카운터의 순서로 연결한다.9 59에서 0 00으로 바뀌는 모습을 보아 6진카운터가 잘 작동하는 것을 알 수 ... (Frequency : 1Hz, Function : square-wave, Amplitude : 0~5V)(B) (A)에서 생성된 Clock 신호를 BCD카운터(10진 카운터)에 연결
    리포트 | 6페이지 | 1,000원 | 등록일 2022.10.24
  • A+ 중앙대 아날로그및디지털회로설계실습(결과)11. 카운터 설계 할인자료
    설계실습 계획서를 참고하여 16진 비동기 카운터를 결선한다.led는 위에서부터 순서대로 Q1, Q2, Q3, Q4이다. ... (실험목적과 중요한 결과를 함축적으로 표현한다.)JK Flip Flop을 이용하여 동기식 16진 카운터, 비동기식 8진, 16진 카운터를 설계해 보았다. ... (B) 설계실습 계획서를 참고하여 16진 동기 카운터를 결선, 비동기식임을 확인한다.추가적으로 16진 동기 카운터도 설계하여 확인해보았다.비동기 카운터와 다르게 74hc08칩이 추가로
    리포트 | 5페이지 | 1,000원 (10%↓) 900원 | 등록일 2022.09.10
  • [A+보고서] 회로실험 카운터 회로 예비보고서
    Up / Down counter(1) 상향 비동기식 카운터- 4비트 2진 상향 카운터 : 16진(mod-16) 카운터- 각 플립플롭은 클록펄스의 하강엣지에서 변화한다. ... 카운터의 경우 0001, 0010, 0011,‥‥, 1111 후 다시 0000으로 돌 아오는 구조이다.(2) 하향 비동기식 카운터- 4비트 2진 하향 카운터 : 16진(mod-16) ... n일 때 mod-n의 카운터라고 한다,(1) mod-n 비동기식 카운터- 비동기식 10진 카운터의 한 예를 보여준다.- 0에서 9까지의 카운터를 반복한다.- BCD 카운터를 구성하려면
    리포트 | 8페이지 | 1,500원 | 등록일 2022.12.24
  • 디지털 시계 실험 프레젠테이션
    시계제작- JEDEC파일 생성2진 디코더3. ... 회로구성- 회로구성10진 디코더6진 디코더10진 디코더6진 디코더10진 카운터6진 카운터10진 카운터6진 카운터13진 디코더(1)13진 디코더(10)2진 카운터13진 카운터2진 디코더NE555a ... 펄스파를 만듦3.
    리포트 | 15페이지 | 5,000원 | 등록일 2021.12.06 | 수정일 2022.04.08
  • 디지털 회로 실험-동기식 카운터
    실험3은 동기식 5진 카운터 회로 JK 플립플롭 3개와 AND 게이트 1개를 사용하여 회로를 구성한 회로이다. ... -동기식 7진 카운터 : 클럭신호를 공급하면 0~6까지 숫자가 변화하는 카운터 이다.3. 실험순서실험순서1. ... 동기식 5진 카운터는 0~4까지 동작하며, 표를 보면 클록을 하나씩 인가하면 1씩 증가하는 것을 확인할 수 있다.
    리포트 | 9페이지 | 2,000원 | 등록일 2022.09.10
  • 아날로그 및 디지털회로설계실습 11 카운터 설계 예비 리포트
    또한, Q1, Q2, Q3 출력 신호에 LED를 연결하여 카운터의 상태에 따라 LED에 불이 들어오도록 연결한다.위의 그림처럼 8진 비동기 카운터 회로도를 구성하면 아래의 파형이 나온다.이 ... 카운터 설계요약: 이번 보고서를 통해 카운터에 대해 학습했다. 74HC73 JK Flip flop을 이용해 4진 비동기 카운터, 8진 비동기 카운터, 10진 비동기 카운터, 16진 ... 학습했다. 74HC73 JK Flip flop을 이용해 4진 비동기 카운터, 8진 비동기 카운터, 10진 비동기 카운터, 16진 동기 카운터 회로도를 설계했다. 4진 비동기 카운터
    리포트 | 6페이지 | 1,000원 | 등록일 2021.09.02
  • 실습 11. 카운터 설계 예비보고서
    카운터로 동작함을 알 수 있다.11-3-2 8진 비동기 카운터 설계8진 비동기 카운터의 회로도를 그린다. ... 설계실습 계획서11-3-1 4진 비동기 카운터이론부의 그림 14-2의 비동기식 4진 카운터에 1MHz의 구형파 (square wave)를 인가할 때,Q1 신호의 주파수와 Q2 신호의 ... 또한, Q1, Q2, Q3 출력 신호에 LED를 연결하여 카운터의 상태에 따라 LED에 불이 들어오도록 연결한다.출력에 LED를 연결해서 출력 상태를 확인할수 있다.Q1 출력은 입력
    리포트 | 4페이지 | 2,000원 | 등록일 2022.09.19
  • 아날로그 및 디지털회로설계실습 12 Stopwatch 설계 예비 리포트
    Stopwatch 설계요약: vpulse를 CLR 10진 카운터와 100진 카운터를 만들었고 그 후에 10진 카운터 3개를 연결하고 가운데의 카운터를 6진으로 만들어 시계처럼 동작하는 ... 처음에는 vpulse를 CLR 10진 카운터를 설계했고 그 후에 100진 카운터를 만들었고 그 후에 10진 카운터 3개를 연결하고 가운데 카운터에 0110일 때 AND gate의 결과가 ... 3개를 연결하여 카운터를 만들었고 중간의 카운터에서 0110일 때 다음 up핀에 영향을 주고 자기자신은 초기화 하는 CLR에 들어가 중간의 카운터는 6진 카운터가 된다.12-4-4Vpulse에
    리포트 | 3페이지 | 1,000원 | 등록일 2021.09.02
  • 디지털논리회로 연습문제 10장
    비동기식 60진 상향 카운터 설계플립플롭 6개를 사용하며, 카운트가 60에 도달한 것을 감지하여 카운터를 리셋시켜야 한다.3. ... 비동기식 6진 상향 카운터 설계6진 카운터를 구성하기 위해 출력이 목표로 하는 최고 카운트에 1을 더한 6에 도달한 순간을 포착하여 모든 플립플롭의 출력을 Clear한다. 6진 카운터는 ... 비동기 입력(Clear, Preset)이 있는 3개의 플립플롭이 필요하다.
    리포트 | 8페이지 | 2,000원 | 등록일 2022.05.21
  • [아날로그 및 디지털 회로 설계실습] 결과보고서(과제)12
    (CLR pin이 CLK의 영향을 받는지는 고려하지 않아도 좋다.)위의 회로도는 16진 비동기 카운터와 리셋 회로를 사용하여 만든 10진 비동기 카운터이다.10진 비동기의 출력 결과는 ... 아날로그 및 디지털회로설계 실습(실습12 결과보고서)소속전자전기공학부담당교수수업 시간학번성명설계실습 12.과제1. 16진 카운터를 이용하여 10진 카운터를 만드는 방법을 간단히 설명하시오 ... 따라서 다시 0000으로 총 10진 비동기 카운터를 나타낸다. reset을 결정짓는 요소는 Q2와 Q4이다.
    리포트 | 2페이지 | 1,000원 | 등록일 2022.09.14
  • 1. 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오
    초 단위에서는 6진 카운터와 10진 카운터를 활용해 1분을 측정하도록 하고 분 단위에서는 6진 카운터와 10진 카운터를 활용해 1시간을 측정하도록 하며 시에서는 24진 카운터를 사용해 ... 직렬 입력 병렬 출력3) 병렬 입력 직렬 출력4) 병렬 입력 병렬 출력Ⅲ. ... 카운터는 두 가지 종류가 있는데 첫 번째로 비동기식 카운터이다.
    리포트 | 5페이지 | 2,000원 | 등록일 2024.09.09
  • 디지털 회로 실험 및 설계 - Multiplexer, DeMultiplexer 실험, JK Flip Flop 순차회로 실험 1
    예를 들어 2개의 플립플롭은 2^2 = 4이므로 4진 카운터를 구성할 수 있다. 4진 카운터는 0~3을 계수한다.- 업 카운터는 계수가 증가하는 카운터이다. ... 예를 들어 4진 다운 카운터의 초기 상태를 ‘11’로 설정하면 클록 펄스가 주어질 때마다 10-01-00 으로 감소하고, 클록 펄스가 계속 주어지면 ‘11’로 돌아가 계수 동작을 반복한다 ... 밑의 사진은 D 플립플롭 또는 T 플립플롭을 이용한 동기 카운터의 설계 과정이다.3. 데이터시트※ 이번에 사용한 소자는 74LS153, 74LS139A, 74LS73A입니다.?
    리포트 | 19페이지 | 3,000원 | 등록일 2023.09.22
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 20일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:11 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대