• 통큰쿠폰이벤트-통합
  • 통합검색(918)
  • 리포트(857)
  • 시험자료(30)
  • 논문(8)
  • 자기소개서(7)
  • 방송통신대(6)
  • ppt테마(5)
  • 이력서(4)
  • 서식(1)

"Digital Architecture" 검색결과 21-40 / 918건

  • [AI건축] 건축에서의 3D프린트 활용
    연구하고 있는 해결 방안 ] [ 3D Printing 건축 기술 사례 ] [ 3D Printing 기술 보완과 해결책 ] [ 3D Printing 건축 활용 방안 제시 ][ 정의 ] 디지털화 ... • HISTORY OF ‘3D PRINTING ’ • 3D PRINTING ARCHITECTURE [ 3D Printing 건축의 장점 ] [ 3D Printing 건축 기술의 현재의 ... / History of ‘ 3D Printing ’/ 3D Printing Architecture1981 년 일본 나고야 시립산업연구소의 Hideo Kodama 3D 프린팅 이론화
    리포트 | 17페이지 | 2,500원 | 등록일 2020.12.04
  • 연세대학교 일반대학원 실내건축학과 학업계획서
    선택적이고 가시적으로 투명한 염료감응형 태양전지용 Hydroxycinnamic acid 유도체 연구, 지속 가능한 초고층 주거의 발전 방향에 관한 연구 : - Time based Architecture ... 다니면서 공간드로잉과시각화, 기초조형1,2, 창의적프로그래밍, 건축3D모델링1,2, 공간디자인스튜디오1,2, 인공지능과기계학습, 동서양건축양식사, 전문학술영어, 실내건축스튜디오1,2, 디지털미디어와디자인 ... 텐스그리티 형태 디자인 및 구축에 관한 연구, 모바일 시대에 적용 가능한 리필 카트리지형 주거 디자인에 관한 연구, 다양한 시청 조건에서 TV 화면의 진정한 화이트 포인트 연구, 디지털시대의
    자기소개서 | 2페이지 | 3,800원 | 등록일 2023.04.26
  • Semiconductor Device and Design - 13~14__
    Eggleston [2] https://www.electronics-tutorial.net/Programmable-Logic-Device-Architectures/Programmable-Logic-Devices ... Appropriate to implement a digital system. ▶ Disadvantage : The die cost is higher because Si area is ... Design (=Quick Design) ▶ Design method using Standard Cell and memory generator(Used primarily for digital
    리포트 | 17페이지 | 2,000원 | 등록일 2023.06.22 | 수정일 2023.06.25
  • 2022년 사물인터넷 이해 중간고사 족보 (1주차-7주차)
    여기서 실제(Real)과 디지털 세상을 연결하는 계층에 해당하는 것은? ... ① 미국 스마트 아메리카(Smart America) Challenge② 한국 디지털 뉴딜③ 일본 CUBIQ 프로젝트④ 중국의 강소성 사물인터넷 시범 도시 지정 및 시범 프로젝트5. ... ① 지그비 (ZigBee)② 저전력 블루투스 (BLE)③ 와이파이 (Wi-Fi)④ 지웨이브 (Z-Wave)16. 4차 산업혁명의 주요 참조 모델 중 RAMI (Reference Architecture
    시험자료 | 5페이지 | 3,000원 | 등록일 2023.02.11 | 수정일 2023.03.13
  • 서강대학교 21년도 디지털논리회로실험 3주차 결과레포트 (A+자료) - Decoder, 7-Segment Display
    architecture는 function을 작성하는 부분이고, 이 떄 signal Y_i는 architecture 내에서 사용하는 signal이고, input이나 output은 ... 디지털논리회로실험 3주차 실험 보고서목적- 일반적인 binary decoder와 encoder의 동작 원리를 이해한다.- 7-segment decoder의 동작원리를 이해한다.- 표시장치 ... DIO0와 DIO1에 디지털값을 입력해주었더니,표7의 진리표에 맞게끔 7-segment display가 출력되는 모습을 확인할 수 있었다.VHDL을 이용한 구현 (optional)
    리포트 | 34페이지 | 2,000원 | 등록일 2022.09.18
  • 정보기술과 디지털 기술
    Von Neumann Architecture : 단일-기억 저장 프로그램 컴퓨터(single-memory stored-program computer)를 최초로 공식적으로 기술.- 다양한 ... 데이터의 표현: 이진 숫자(binary digits) 혹은 비트(bits).- 비트들의 패턴: 데이터나 명령어(instructions) 표현- 8비트의 컬렉션: 바이트 (byte)kilobyteone ... 주장.# 디지털 기술?
    시험자료 | 3페이지 | 1,500원 | 등록일 2020.01.03
  • 디지털설계 실습보고서
    디지털 시스템 설계실습보고서 7실습보고서 71. ... : in std_logic;A, B : in std_logic_vector(3 downto 0);Y : out std_logic_vector(3 downto 0));end ALU;architecture
    리포트 | 3페이지 | 1,000원 | 등록일 2021.12.28
  • [해체주의] 자하하디드와 유엔스튜디오
    건축의 활용 다이어그램은 건물의 각 요소마다 스며들어 있다4-3 UN STUDIO 작품 분석 ( 갤러리아 백화점 ) Program 판매행위 구입행위 Architecture Diagram ... 건축의 활용 다이어그램은 건물의 각 요소마다 스며들어 있다4-2 UN STUDIO 작품 분석 ( 메르세데스 벤츠 박물관 ) Program 전시 및 관람 , 극장 등 복합 공간 Architecture ... 방식 해체주의 자하하디드와 유엔스튜디오 디지털 건축 서로 다른 영향 디지털 건축의 특성 디지털 건축의 특성 + 해체주의적인 관점 + 건축가들의 건축적 특징 # 작품 분석 과정 건축적
    리포트 | 59페이지 | 4,000원 | 등록일 2020.11.19 | 수정일 2024.09.05
  • 한국건축 미륵사지
    미륵사지 출처 백제역사유적지구 디지털아카이브 문화재청 국가문화유산포털 국립 익산박물관 https://portal.nrich.go.kr/kor/page.do? ... 의의 가치 설화 history of korean architecture 미륵사지02history of korean architecture 미륵사지 가람 ( 伽藍 ) 이란 말은 범어를 ... 이러한 배치는 왕이 용화산 밑 연못을 지나갈 때 연못에서 미륵삼존이 나타났다는 창건설화와 연관 있는 듯하다 중심축history of korean architecture 미륵사지 금당
    리포트 | 19페이지 | 1,500원 | 등록일 2020.12.06
  • 기초전자회로및실험2 -ALUs(Arithmetic logic units)를 이용한 n-bit 계산기 설계
    나눗셈기 A A B 출처 : Digital Design and Computer Architecture _ D.M Harris, S.L.
    리포트 | 15페이지 | 1,000원 | 등록일 2023.06.22 | 수정일 2023.06.25
  • 9주차 예비보고서 - 디지털 시스템 설계 및 실험
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험이름 :학번 :실험제목Simple Computer - Data Path실험목표1. ... 컴퓨터 시스템- 폰 노이만 구조 (Von Neumann Architecture)폰노이만 구조는 (1)Control Unit (2)Arithmetic/Logic Unit (ALU) ( ... Simple Computer Architecture- Simple Computer는 각각의 Microoperation을 수행하기 위한 Data Path와 Microoperation의
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.29
  • Design Flow of a Digital IC 요약
    flow of digiral IC design / 디지털 집적회로의 설계 흐름* Associated issues and emerging trends during the digital ... IC design process / 디지털 집적회로 설계 과정과 관련된 문제 및 최신 동향The role of digital IC/SoC design in CE products- ... . / 전자기기 디자인에서 집적회로와 SoC의 역학* Design abstraction levels of digital IC design / 디지털 집적회로 설계의 추상화 레벨* Design
    리포트 | 3페이지 | 1,000원 | 등록일 2020.04.15
  • 포스트 코로나 시대 건축
    /architecture-discussion-2006-02/ ... 그렇기에 다양한 시도와 실패를 경험하고 한발 한발 내딛는 사람에게 열리는 것이다.논문-건설산업의 DIGITAL TRANSFORMATION에 대한 오해 (김정헌) Hyperlink " ... 의료, 방재, 도시관리 등 관련 분야 간 협력을 통해 새로운 질서를 찾아가는 과정에서 건축사는 문제해결을 넘어 건축 디자인과 혁신을 이루는 힘을 가진 존재임에 분명하다고 생각한다.Digital
    리포트 | 3페이지 | 1,000원 | 등록일 2020.10.22
  • 컴퓨터란 무엇인가 - happycampus
    컴퓨터란 다양하게 입력되는 명령어에 따라서 일반적으로 이진법을 이용하여 데이터를 저장하고 처리하는 전자 기기 1970 년대 이후로 컴퓨터라는 단어는 폰 노이만 구조에 기반을 둔 디지털 ... 세계최초의 슈퍼컴퓨터 CDC 6600 세이모어 크레이컴퓨터 구조 폰토이만 컴퓨터 CPU Memory Hard Disk폰 노이만 구조 (Von Neumann Architecture)
    리포트 | 10페이지 | 4,000원 | 등록일 2021.12.29
  • 로보틱엔지니어링&AI 요약
    3차 산업혁명을 기반으로 한 디지털, 물리학, 생물학 등 경계가 없어지고융합되는 기술 혁명속도, 범위, 영향력 등 측면에서 3차 산업혁명과 차별화되며, 인류가 한번도 경험해 보지 못한 ... solution- Robotics 커뮤니티에서는 현재 Hybrid가 가장 좋고 일반적인 architectural solution이라고 생각되어 짐1) 비동기적 처리 기술2) Software ... 문제점으로 인해 그러지 못했음1) Behaviors를 디자인 하는 것은 과학이 아니라 기술이기 때문2) 잘 디자인하고 테스트 했더라도, 시스템에 잘 통합되었는지 잘 모름Reactive architecture
    리포트 | 7페이지 | 3,000원 | 등록일 2021.07.15 | 수정일 2022.03.16
  • ring,jhonson counter 예비레포트
    -jhonson counter존슨 카운터는 플립플롭이 피드백 방식으로 직렬로 연결된 디지털 회로 이다. ... -always @ posedgealways : 항상 실행@ posedge : 라이징엣지 일 때만 실행(3) module(architecture modeling)module ()-연산자endmodule-C언어의 ... 최적화는 면적의 초소화, 동작의 도속화를 한다.(6) shift register counter-ring counterring counter는 플립플롭이 피드백 방식으로 직렬로 연결된 디지털
    리포트 | 7페이지 | 1,000원 | 등록일 2022.08.21
  • 현대 건축론 독후감_불완전한 건축
    불완전한 건축Architecture Depends-Book Review-고정된 형상과 기능의 상징으로서의 ‘계획(TO PLAN)'에서 설계 프로세스를 재고하게 하는 복수의 행위에 대한 ... 철학, 역사, 이론과 일화를 통해 제레미 틸은 건축이 갖는 우연성이 어떻게 디자인과 이론을 근본적으로 다시 생각할 기회를 주는지 보여준다고 말했고 시몬 세들러는 비트루비우스에서 디지털
    리포트 | 3페이지 | 2,000원 | 등록일 2024.05.29
  • VHDL코드를 이용한 4비트 감가산기 구현
    디지털 시스템 Term project 포트 폴리오설계 과제명Digicom V3.32와 quartusII를 이용한 4비트 감가산기 구현과목명디지털 시스템담당교수ooo 교수님기간-설계 ... downto 0);sign : out std_logic;sum : out result_range;underflow, overflow : out std_logic);end addsub;architecture ... 배경디지털 시스템 수업시간에 익힌 내용을 토대로 quartus로써 vhdl code를 작성하여 Digcom v3.2로써 3단스위치와 세그먼트를 이용한 4비트 감가산기를 구현하기로 하였다.설계
    리포트 | 8페이지 | 1,000원 | 등록일 2020.05.19
  • 세련되고 임팩트 있는 발표를 위한 PPT 템플릿 시리즈 No. 01
    조직과 국민의 권리 및 의무를 규정한 최고법이다 . “ 자료 : Next Generational Emergence in Western Societies: Understanding Digital ... Actionable Analytics Web-Scale IT Software-Defined Applications and Infrastructure Advanced System Architecture ... Everything Next Generation Analytics App Stores and Marketplaces The Internet of Things Cloud/Client Architecture
    ppt테마 | 26페이지 | 5,000원 | 등록일 2021.04.17 | 수정일 2021.04.23
  • 현재 가장 활발하게 진행되고 있는 멀티미디어 기술 중 사물인터넷(IoT)과 증강현실(AR), 가상현실(VR)에 대해서 조사하고, 발전 방향에 대해서 본인의 의견을 기술하시오.
    메시(Digital mesh.2. ... 가상현실과 그 발전방향1) 가상현실의 개념가상현실(VR)이란 말 그대로 디지털 기술을 이용하여 가상 공간(Virtual space ; Virtual architecture)을 만들어내는 ... 않는 디지털 콘텐츠를 시각 및 청각적으로 더하여 아날로그와 디지털 세계가 서로 매끄럽게 연결되어 있다는 데 있다.사용자는 아날로그-오프라인 현실이 제공하는 자극과 디지털-온라인 현실이
    리포트 | 7페이지 | 2,000원 | 등록일 2023.01.11
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:54 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대