• 통큰쿠폰이벤트-통합
  • 통합검색(482)
  • 리포트(457)
  • 시험자료(21)
  • 논문(2)
  • 방송통신대(2)

"Encoder와 Decoder결과" 검색결과 21-40 / 482건

  • [아주대] 논리회로실험 5장 결과(Decoder & Encoder)
    Decoder & EncoderOBJECTIVES실험을 통해 decoder와 encoder를 logic gate로 구성해 보아 구조와 동작원리에 대해 알 수 있었고, BCD to Decimal ... encoder를 logic gate로 구성해 보아 구조와 동작원리에 대해 알 수 있었고, BCD to Decimal decoder와 priority encoder소자의 입출력 관계를 ... decoder와 priority encoder소자의 입출력 관계를 관찰하여 동작원리를 이해할 수 있었다.공급전류원PROCEDURES & RESULTS위 사진에서 볼 수 있듯이 앞으로의
    리포트 | 7페이지 | 2,000원 | 등록일 2013.09.25
  • [논리회로실험] decoder와 encoder (결과)
    실험방법 및 결과1) 2단 2진 카운터그림 2. 2단 2진 카운터 decoding gate그림 2의 회로를 구성하여 그림 3에 다음의 파형을 그리시오.1) 출력 A의 파형 2) 출력 ... Decade Counter and decoder같은 실험을 output 7(9번 핀)에 대해서 반복한다. ... Decimal-to-excess 3 encoder (DCBA)for decimal digits 0 to 5표 1을 기초로 하여 표2 에 D, C, B, A를 ‘0’과 ‘1’로 기록하라
    리포트 | 7페이지 | 1,500원 | 등록일 2009.03.20
  • [A+ 결과보고서] 아주대 논리회로실험 실험5 'Decoder & Encoder'
    결과보고서실험목적- 디코딩(decoding)과 인코딩(encoding)의 코드변환 동작에 관해 실험하고 그 동작원리를 이해한다.- AND gate를 이용하여 Excess-3 Code를 ... 구성하고 10진 입력을 주고 그 결과를 관찰한다.- priority encoder에 대하여 이해한다.2. ... 실험결과Decoder실험1 2X4 Decoderinput 0 0input 0 1input 1 0input 1 1D1D2D3D4D1D2D3D4D1D2D3D4D1D2D3D4******
    리포트 | 5페이지 | 1,000원 | 등록일 2015.03.27
  • 아주대 논리회로실험 실험결과7 복호기와 부호기 (Decoder & Encoder)
    결국 우리는 7 segment 표시기를 갖는 BCD 카운터가 정상적으로 작동함을 확인할 수 있었다.● 토의 및 고찰이번 실험은 디코더와 인코더 실험으로 카운터를 이용해 디코딩(decoding ... 시뮬레이션에서도 그렇고 실험에서도 그렇고 참으로 정확한 결과를 한번에 얻을 수 있었다. 이런 결과는 다 예비보고서를 TM면서 개념을 익히고 미리 공부한 결과라고 생각됬다. ... )과 인코딩(encoding)의 코드변환 동작에 관해 실험하고 그 동작원리를 이해해보는 진행 하였다.
    리포트 | 9페이지 | 1,500원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • 아주대 논리회로실험 래치와 플립플롭 , Decoder &Encoder결과보고서
    Decoder & Encoder실험 1) 2*4 디코더AND, NOT gate를 사용해서 2*4 디코더회로구성실험 1 결과값입력출력ABD0D1D2D30*************0010110001실험 ... 논리회로실험 결과보고서실험5. ... 이 8 X 3 Priority Encoder는 입력이 2개가 들어와도 우선순위에 있는 입력만 읽는다.
    리포트 | 7페이지 | 1,000원 | 등록일 2013.11.29
  • [결과]실험5. Decoder & Encoder & 실험6. Latch & Flip-Flop
    Decoder & Encoder & 6. Latch & Flip-Flop1. 실험과정 및 결과? ... 실험5-1. 2X4 Decoder실험 5-1은 inverter와 AND gate를 사용하여 2x4 decoder를 만들고 입출력 결과를 관찰하는 실험이었다. 2비트의 2진수 코드를 ... 수 있었다.실험 5-1은 2개의 inverter와 4개의 AND gate를 사용하여 2x4 decoder를 만들고 입출력 결과를 관찰하는 실험이었다.
    리포트 | 7페이지 | 1,500원 | 등록일 2013.09.28
  • 결과보고서 실험 7. 복호기와 부호기(Decoder & Encoder)
    복호기와 부호기(Decoder & Encoder) >< 목 적 >카운터를 이용해 디코딩(decoding)과 인코딩(encoding)의 코드변환 동작에 관해 실험하고 그 동작원리를 이해한다 ... & Encoder)를 공부하였다. ... .< 실험 과정 및 결과 >1) 2단 2진 카운터2단 2진 카운터 decoding gate그림 2의 회로를 구성하여 그림 3에 다음의 파형을 그리시오.1) 출력 A의 파형CLKA2)
    리포트 | 8페이지 | 3,000원 | 등록일 2012.03.11
  • 기초회로 실험 Encoder와 Decoder를 이해하고, 이를 응용하여 7segment LED를 활용한다. (결과보고서)
    기초회로실험(2분반) 2조 결과보고서 1(제 8회)실 험 주 제Encoder와 Decoder를 이해하고, 이를 응용하여 7segment LED를 활용한다.제 출 일 시학 번 / 이 ... 따라서 7-segment LED의 a, b, d, e, g에 불이 켜져숫자 ‘2’를 나타내게 된다.기초회로실험(2분반) 2조 결과보고서 2(제 8회)실 험 주 제Encoder와 Decoder를 ... 2(제 8회)실 험 주 제Encoder와 Decoder를 이해하고, 이를 응용하여 7segment LED를 활용한다.제 출 일 시학 번 / 이 름담 당 교 수담 당 조 교실 험 내
    리포트 | 3페이지 | 1,000원 | 등록일 2012.10.31 | 수정일 2013.11.11
  • 전전컴실험Ⅱ 06반 제07주 Lab#05 [Decoder, Encoder, Mux] 결과 보고서
    그리고 encoder, decoder, convertor는 실험 결과를 얻지 못했다. 이번 기본 bit 수에 대한 개념을 다시 바로 잡았다.1. ... 그리고 이 개념으로 encoder, decoder, convertor를 만들어 낼 수 있다..나. ... Results of this Lab (실험 결과) ‥‥‥‥‥‥‥‥‥‥‥ 8가. Results of Lab 1. ‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥ 8나.
    리포트 | 15페이지 | 1,500원 | 등록일 2013.09.09 | 수정일 2013.09.15
  • [전자회로실험] Decoder, encoder와 multiplexer, demultiplexer(결과)
    실험 #10 Decoder, encoder와 multiplexer, demultiplexer실험 결과1> 다음 그림 10.1의 회로를 구성하고 진리표를 실험에 의해 완성하라.-1 디코더는 ... 또 Enable이 0 인곳의 동작이 없음도 확인 하였다.연습문제1)Inverter와 AND gate를 사용하여 3선 - 8선 decoder를 설계하라.2) 3선 - 8선 encoder를 ... 설계하라.3) 실험결과를 이용하여 그림 10.1의 회로의 기능을 설명하라.74LS42는 4-LINE BCD TO 10-LINE DECIMAL DECODER, BCD-10진 디코더이다
    리포트 | 9페이지 | 1,000원 | 등록일 2003.10.25
  • [전기전가](디지털논리회로실험)인코더, 디코더 (Encoder, Decoder) 결과 보고서
    인코더, 디코더 (Encoder, Decoder) 결과1. ... 실험 결과(1) Verilog 코드module PRIORITY_ENCODER_8_TO_3 (D, XYZ); // module 설정input [0:7] D; // input 선언 (1비트 ... 결과 및 토의?
    리포트 | 10페이지 | 1,000원 | 등록일 2007.08.14
  • 부산대 어드벤처디자인 결과보고서 8주차 A+보고서 1등보고서
    어드벤처 디자인결과보고서 8실험 제목 : Multiplexer, Decoder, Encoder1. ... 실험 목적MUX/DEMUX와 Encoder/Decoder의 구조와 동작 원리에 대해서 이해하고 이를 응용하는 방법에 대해 이해한다.2. ... [그림 3] Encoder 블록도Decoder는 인코더와 정반대 기능을 수행하며 n 비트의 2진 코드 입력에 의해 최대 2^n개의 출력이 나오도록 한다.
    리포트 | 5페이지 | 1,500원 | 등록일 2022.03.24
  • 디지털 회로 실험 및 설계 - Encoder, Decoder 실험 2
    디지털회로실험및설계 결과 보고서 #8( Encoder, Decoder 실험 )과 목담당교수제 출 일학 번이 름1. 회로도2. ... 실험에서 사용한 74LS148은, 우선순위를 가지는 Encoder로서, 입력 1, 2, 3, 4가 있다고 가정하고, 4부터 우선순위가 높다고 가정할 때, 나머지의 전압 레벨 (0 또는 ... 실험 결과 사진? 실험(1) 분석?
    리포트 | 7페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 서강대학교 디지털논리회로실험 레포트 3주차
    디지털 논리회로 실험 결과 보고서3주차 Decoders and Encoders5조1.실험제목: Decoders and Encoders2.실험목적:-일반적인 binary decoder의 ... 토의 및 결론이번 실험에서는 decoder및 encoder, 7-segment 등의 동작원리에 대해 배울 수 있었다. ... -Encoder의 동작원리를 이해한다.
    리포트 | 12페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 예비 보고서
    Decoders and Encoders1. ... [그림 19][그림 20]3) 과정 3 : 7-segment decoder의 동작 확인VHDL 코드 및 시뮬레이션 결과는 다음과 같다. ... 실험 목적1) 일반적인 binary decoder의 동작 원리를 이해한다.2) 7-segment의 동작 원리를 이해한다.3) Priority encoder의 동작 원리를 이해한다.4
    리포트 | 11페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 시립대 전전설2 A+ 5주차 예비레포트
    예상결과1) 실습 1decoder2to4.vtb_decoder2to4.vSimulation2) 실습 2encoder4to2.vtb_encoder4to2.vSimulation3) 실습 ... 3encoder4to2_2tb_encoder4to2_2Simulation4) 실습 4decoder3to8.vtb_decoder3to8.vSimulation5) 실습 5MUX2to1 ... vtb_decoder2to4.vSimulation실습 2encoder4to2.vtb_encoder4to2.vSimulation실습 3encoder4to2_2tb_encoder4to2
    리포트 | 26페이지 | 2,000원 | 등록일 2024.09.08
  • 결과보고서4_디지털통신2_Block Coding and Decoding
    계산 결과와 일치하므로 정상적으로 encoding 됐음을 알 수 있다두 번째 사진은 encoding전과 decoding후의 사진으로 똑 같은 PCM 신호가 나오는 것으로 봐서 디코딩이 ... 디지털통신2 결과보고서-4차-[Block Coding and Decoding]제출일학과조학번수업이름목 차1. ... 모듈의 설정에 따라 Parity 혹은 Hamming 인코딩이 이루어진다.인코딩된 결과 값을 DECODER에 입력해준다.
    리포트 | 20페이지 | 2,000원 | 등록일 2021.09.23
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab05(결과) / 2021년도(대면) / A+
    실험의 목적Verilog HDL 언어를 사용하여 Combinational Logic을 설계 및 실험(Encoder/Decoder, Mux/Demux 등)하고, 설계한 로직을 시뮬레이션하기 ... 중의 하나로 출력하는 로직- Encoder의 반대 로직임.(4) 3X8 Decoder- 3개의 입력선과 8개의 출력선을 갖는 디코더(5) MUX(Multiplexer)- N개의 입력 ... Result of this lab(1) [실습 1] 2:4 Decoder를 설계하시오.Source codeTestbenchPin testbench 시뮬레이션 결과 설계한 2:4 Decoder의
    리포트 | 19페이지 | 2,000원 | 등록일 2022.07.16
  • 부경대 컴퓨터공학 컴퓨터네트워크 계산기서버 만들기, 와이어샤크
    )s.sendall(str(num1).encode("utf-8"))data2 = conn.recv(1024)strings = data2.decode("utf-8")num2 = int ... (1024)strings = data2.decode("utf-8")num2 = int(strings, base=10)print(num2)s.sendall(str(num2).encode ... )s.sendall(str(num2).encode("utf-8"))data = s.recv(1024)strings = data.decode("utf-8")result = int(strings
    리포트 | 17페이지 | 5,000원 | 등록일 2021.01.14 | 수정일 2023.06.23
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서5
    Decoder & Encoder1) 실험목적1. 디코더(Decoder)와 인코더(Encoder)가 무엇인지 안다.2. ... )74HC148(8x3 line priority encoder)4) 실험과정 및 예상 결과실험1)실험 과정: 준비한 결선도를 참고하여 2x4 Decoder를 74HC04와 74HC08을 ... 디코딩(Decoding)과 인코딩(Encoding)의 원리를 이해한다.3.
    리포트 | 10페이지 | 1,000원 | 등록일 2021.10.24
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 17일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:27 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대