• 통큰쿠폰이벤트-통합
  • 통합검색(69)
  • 리포트(67)
  • 논문(1)
  • 자기소개서(1)

"LCD 시계 타이머" 검색결과 21-40 / 69건

  • VHDL이용, Digital Clock(디지털 시계)및 부가기능(타이머, 알람, 세계시간등)제작
    타이머- 타이머시계와 반대로 동작하면 되게 구현하였는데, 우선 타이머라는 기능 자체가 시작점을 설정해 주어야 하기 때문에, 시/분/초 조절기능과 같이 생각하여 One-shot Enable ... 앞으로 Clock은 1Mhz, 1Khz, 100Hz 세 개만을 이용하여모든 기능을 만들도록 한다.- 위의 그림은 시계를 표현해주기 위해, LCD_DATA값에 따로 8'b의 어떤 수를 ... 세계시계2-2. 타이머2-5. 달력2-3. 알람2-6. LED 세레모니- 총 6가지의 부가기능이 존재하고, 아래와 같은 시작/설정 버튼을 갖고 구현하였다.
    리포트 | 22페이지 | 5,000원 | 등록일 2014.02.14 | 수정일 2021.08.25
  • 마이크로 컨트롤러 기초_MCU_msp430_CCS_interrupt_BTimer_Timer A 등 10주치 실습보고서
    ☞BT1이 발생하는 1초 인터벌 발생 기능을 이용하여 시계를 만드는 방법을 이해한다.☞4x7세그먼트 기능을 이용하여 간단한 디지털 시계를 만들어 본다.2. ... Timer 컨트롤 레지스터비트의미7BTSSEL : 5비트와 함께 BTCNT2의 clock을 결정6BTHOLD : Basic Timer1 HOLD5BTDIV :4-3BTFRFQx : LCD용 ... 주파수(사용안함)2-0BTIPx : Basic Timer1 Interrupt Interval 결정다) Polling 방식 이용 타이머1.
    리포트 | 26페이지 | 2,500원 | 등록일 2017.03.05 | 수정일 2017.12.11
  • 센서시스템 - 로터리인코터
    만약 90° 정도에 위치했던 회전체가 시계 방향으로 30° 이동하게 되면 엔코더의 출력값이 90°에서 120°로 출력되는 방식이다.D. ... 일반적인 작동방법은, 우선 LCD가 볼록렌즈에 직접적으로 빛을 쏜다. 볼록렌즈를 투과한 두 개의 평행한 빛을 이용해 초점을 맞춘다. ... MCU에 연결된 기기에 타이머를 설정하여 특정 시간동안 MCU에 입력된 횟수를 토대로 엔코더의 회전 각속도를 측정해낼 수 있다.반면, 앱솔루트형 엔코더의 경우 회전체가 회전한 위치를
    리포트 | 12페이지 | 3,500원 | 등록일 2019.05.10
  • 기초전자공학 실험2 USART 화재 경보기
    또, AVR 2번째 과제로 타이머 인터럽트 한 것을 응용해 시계도 추가하여, 현재 시간을 표시하였다.조영범 교수님반이 1~2주차 과제를 1주에 하였고, 다른 반보다 1주 먼저 AVR ... 출력3 시계기능4 USART를 이용한 통신5 온도계를 통한 화재감시4. ... 와 falling edge사이) 까지의 시간이2.25ms이면 ‘1’을 나타내며1.125ms이면 0을 나타내게 된다.시간 간격을 재기위해서 100μsec 마다 인터럽트를 발생시키는 타이머
    리포트 | 56페이지 | 1,000원 | 등록일 2014.07.09
  • 메카트로닉스 장애물 제거 로봇
    TQFP 타입으로 64개의 핀수를 갖고 있고, 인덱스 코너를 기점으로 반시계방향으로 번호가 시작이다.< Figure.13 > ATmega128의 외형과 외부 신호C. ... .2) 생성된 COFF파일은 AVR Studio를 사용하여 소스 레벨 디버깅(debuging) 가능.3) 표준 라이브러리 외에 아래의 여러 가지 주변 소자 라이브러리 포함- 문자형 LCD ... 기능을 갖는 2개의 16비트 타이머 / 카운터7) PWM 등 파형발생- 두 개의 8비트 PWM채널- 6개의 16비트 정밀도의 프로그래머블 CTC, PWM 등 파형 발생8) 워치독 타이머
    리포트 | 72페이지 | 5,000원 | 등록일 2016.04.03
  • [논리회로실험] 실험11. 디지털 클락
    알람이나 타이머 같은 기능은 없이 순수하게 시간의 흐름만 확인할 수 있는 시계이다. 시계는 7-segment display를 통해 확인한다. ... 추가적으로 LCD창을 통해서도 확인해본다. 이번 실험을 통해서 분주회로에 대해 복습하고, 간단한 순차회로 설계에 대해 학습할 수 있다. ... 마지막으로 추가 문제를 해결하면서 지난 시간에 이어 LCD창에 원하는 문자열을 출력하는 법을 학습할 수 있다.Design① Describe what your circuit does이번에
    리포트 | 19페이지 | 2,000원 | 등록일 2014.03.22
  • 태양 밝기 및 물체와 거리 따른 LED 전동 로봇 제작
    모터 2개로 이동 로봇을 구성할 왼쪽 모터는 반시계방향으로 오른쪽 모터는 시계방향으로 동작시켜야 앞으로 수 있다. ... .- 2개의 8비트 타이머/카운터(0.2)와 2개의 16비트 타이머/카운터(1,3)를 가지고 있다. ... DIR 핀이 GND 에 연결될 경우모터가 VCC 에 연결될 경우 반시계방향(CCW)으로 회전한다.
    리포트 | 26페이지 | 3,500원 | 등록일 2013.06.13 | 수정일 2014.01.06
  • ATMEGA8535를 사용한 오토 알람 블라인드(회로도, 소스 포함)
    FAN- 알람과 빛감지로 열리고 닫히는 블라인드- 리모콘 수신부4.2) 기본 성능1) 빛을 감지하여 모터 ON, OFF2) 타이머 인터럽트를 이용하여 LCD시계 출력(외부 크리스탈조절 ... temp_temp;//////////// 시계 설정 /////////////unsigned int sec_cnt;unsigned int ms1, ms2;//unsigned char ... =0x18 ;PORTB //lcd를 PORTB로 사용// .equ __lcd_port=0x1B ;PORTA// .equ __lcd_port=0x18 ;PORTB// .equ __lcd_port
    리포트 | 15페이지 | 3,500원 | 등록일 2013.02.28
  • 디자인 이해
    삿포로 동계 올림픽의 일본의 역할을한다.1973 6와 LCD는 세계 최초의 쿼츠 시계의 소개 자리 디지털 디스플레이 (06LC).1974 브라질 자회사의 설립.1975 세계 최초의 ... 소개.1984 세계 최초의 ""피라미드 토크 세이코 시계는 이야기를 소개.1986 세이코 공식 타이머 월드컵 축구 선수권 대회에서 멕시코의 역할을한다.1987 세이코 공식 타이머 ... 휴대용 쿼츠 시계했다.1964 세이코 공식 타이머 도쿄 올림픽의 일본의 역할을한다.1968 홍콩 자회사의 설립.1969 세이코 Astron, 세계 최초의 쿼츠 시계 (35SQ)의
    리포트 | 8페이지 | 1,000원 | 등록일 2012.11.09
  • Linux Fedora(리눅스 페도라) Minicom 을 이용한 디지털시계 설계
    따라서 기존에 인터넷 검색을 통한 자료를 바탕으로 몇 번의 수정 및 검토를 하여, 스탑워치 또는 디지털 타이머를 이용한 별도의 응용된 시스템을 설계하도록 한다.-> 우리는 기존의 디지털시계 ... 물리주소void lcd_con();void lcd_con2();void initialize_textlcd();void setcommand(unsigned short command) ... 설계를 하려고 하였으나, 아직 프로그램 코딩에 미흡하여 디지털시계를 설계못하고 스탑워치를 설계하기로 함.
    리포트 | 12페이지 | 1,500원 | 등록일 2012.10.11
  • 마이크로프로세서를 이용한 PC 컨트롤러
    (시간 설정은 입력 즉시 DS1302에 Write)온도값을 불러와 시간과 온도를 CLCD에 표시해준다.2 단계에서 설정된 시계의 작동(DS1302에서 READ)과 캐릭터LCD의 밝기 ... 조절(DAC0800)할 할수있다.3 단계와 4단계에서 1차 허용 온도와 2차 허용온도를 설정 하여 저장마지막 단계에서 키인터럽트입력이 중지하고 타이머인터럽트를 이용하여 도트매트릭스로 ... 팬 제어릴레이를 이용하여 12V 팬 구동스텝 모터를 이용하여 팬이 구동하지 않을 때 이물질 방지 하기 위해 덮개 사용DS1302를 이용하여 시간표시D/A컨버터를 이용 하여 캐릭터 LCD
    리포트 | 25페이지 | 4,900원 | 등록일 2011.10.23
  • [전자공학실험] 8051 LCD 제어-LCD시계구현.
    실험 1 : LCD 에 타이틀 페이지 출력♨실험 분석이 번 실험은 LCD에 직접 프로그램을 짜서 출력하는 실험이다. ... 그럼 이제 LCD 명령어에 대해서 알아보자. 우리가 사용하는 LCD 의 메모리 주소는 0xfa00 이다. ... LCD 역시 다른 TTL 칩처럼 Vcc 와 GND 가 각각 1,2번에 연결되어 있었다. 3번은 8051 키드에 장착된가변저항을 이용해서 LCD 밝기를 조절할수 있는 핀이다.
    리포트 | 9페이지 | 1,000원 | 등록일 2003.12.04
  • ZigBee를 이용한 무선 시간 수신 시계
    /카운터(0.2)와 2개의 16비트 타이머/카운터(1,3)를 가지고 있다. ... 손목시계의 시간을 변경할 때 시계의 축을 돌리거나 전자시계의 버튼을 여러 번 누럴 서 변경하는 번거러움을 덜어주는 시계입니다. ... 이들 타이머/카운터는 2개의 8비트 PWM 출력, 6개의 2~16비트 PWM출력, 출력비교 단자 등과 관련되어 동작한다.13) 8채널 10비트 A/D컨버터를 가지고 있다.14) 2개의
    리포트 | 42페이지 | 1,500원 | 등록일 2009.04.25
  • 임베디드시스템에 대한 소개
    이러한 응급 복구는 소프트웨어가 주기적으로 타이머를 건드리지 않으면 컴퓨터를 초기화시키는 왓치독 타이머라고 불리는 전자 부품을 통해 이루어집니다.사용자 인터페이스임베디드 시스템은 현대 ... 제품의 신뢰성 및 성능 향상을 최적화할 수 있도록 최선을 다하고 있습니다.몇몇 임베디드 시스템은 대량 생산을 하여 경제의 혜택을 누릴수 있도록 합니다.임베디드 시스템의 범위는 디지털 시계와 ... 사용자 인터페이스가 있다 하더라도 조그마한 키패드거나 LCD 정도일 수 있습니다.임베디드 시스템은 여러 해에 이르는 오랜 기간 동안 오류 없이 안정적으로 돌아가도록 설계됩니다.
    리포트 | 4페이지 | 2,000원 | 등록일 2011.09.09
  • Zeebee 원격제어가 가능한 디지털 전자 액자
    시계에서 가장 중요한 정확한 시간을 만들기 위해서 가급적 오차가 적은 클럭을 발생 시켜야 합니다. ... 로직 IC로 꾸민 디지털 시계의 경우 카운터 IC로 분주회로를 만들고 555나 멀티바이브레이터 같은 회로로 클럭을 인가하여 시간을 카운트 합니다. ... 또한 웹을 이용하면 전자액자와 불구하고 앞으로 계속 낮아질 LCD 가격 전망에 힘입어 LCD 액자가 가지는 이점이 가격이 싼 일반액자의 장점을 넘어서는 시기를 위해 대기업 뿐만 아니라
    리포트 | 31페이지 | 100,000원 | 등록일 2009.12.06 | 수정일 2015.01.12
  • 8051 키트를 이용한 디지털 예약 시계 프로젝트
    연구 결과물프로젝트 때 사용한8051 KIT실행시키기 전처음 LCD 화면Digital Clock12:00:00 Am 으로 첫 화면 설정되어 있다.스위치를 조작하여 원하는 시간에 시계를 ... 핵심 아이디어의 구현타이머2가 1MHz ÷ 50000 = 20Hz의 주파수로 인터럽트를 발생 따라서 소프트웨어 카운터가 ÷20으로 인터럽트를 카운트하여 1초의 시간 주기를 만들어 냄시계의 ... 오차가 발생하지 않도록 타이머2를 자동 재로드 모드(auto-reload)로 사용 4.
    리포트 | 11페이지 | 3,000원 | 등록일 2009.01.01
  • AVR 디지틀 시계(C사용)
    LCD를 이용한 8515 디지틀 시계.1. 전체 구성초기화 -> 시간출력 -> 시간처리 -> 달력처리2. ... (void);void main(void){LCD_init();// LCD 초기화timer_init();// 타이머 초기화External_Interrupt_Init();// 인터럽트 ... 프로그램 특징1) 타이머0를 인터럽트로 사용하여 3개의 키로 사용2) 배열에 요일을 입력하고 각 달의 마지막 날을 입력하여 요일 출력 및 윤년과 윤달을계산하였음3) 타이머/카운터 1의
    리포트 | 10페이지 | 1,000원 | 등록일 2008.07.07
  • 임베디드(embedded) - stopwatch using Windows CE (버튼입력,seven segment출력)
    : 숫자 버튼 switch 입력 받는 ThreadIntrThread2 : 시계 동작을 위한 Timer interrupt ThreadLCDThreadProc : LCD 출력 ThreadFndThreadProc ... 된다.Windows CE의 경우 인터럽트의 처리를 ISR과 IST로 구분함으로써 이러한 실시간성에 대해서 만족할 수 있도록 하는 것이다.본 과제에 쓰이는 Interrupt는 숫자 스위치 버튼과 타이머가 ... Backgroundaq이번 과제는 디지털 시계를 만드는 것이었다.메인Thread를 포함한 총 5개의 Thread를 이용하여 구현하였다.WINAPI WinMain : Main TreadIntrThread
    리포트 | 13페이지 | 2,000원 | 등록일 2011.01.11
  • FND 14개를 사용한 디지털 시계
    각각의 장점을 어느 정도 수용 할 수 있는 휴대 전화나 디지털 카메라에 많이 사용되는 TFT LCD를 이용해서 디지털 시계를 개선할 예정이다. ... 마이크로 프로세서FND 14개를 사용한디지털 시계개요디지털 시계 프로젝트를 수행하는데 있어서 가장 기본이 되는 시계를 제작한다. ... 타이머/카운터 1은 디지털 시계의 기준 시간으로 사용하였다. 1초를 결정하는데 있어서는 다음 공식에 따른다.본 실험에서 사용할 회로의 오실레이터 발진 주파수는 8MHz, 분주 비는
    리포트 | 6페이지 | 1,500원 | 등록일 2008.05.13
  • 논리회로 설계실험 농구전광판
    Introduction1)농구 전광판을 구현 한다.2)농구 전광판에 들어갈 기능은 타이머 기능, 점수의 감 가산 기능 등이 들어간다.3)전광판의 결과물은 LCD와 SEVEN_SEGMENT에 ... 계산기에서 사용했던 LCD와 스위치 디지털시계 실습에서 사용하였던 SEVEN_SEGMENT를 사용하여 구현 하였다. ... entitySEGMENT_DRIVER는 디지털시계 실습 때 사용하였던 것과 거의 동일 하다.
    리포트 | 24페이지 | 2,000원 | 등록일 2009.07.10
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:31 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대