• LF몰 이벤트
  • 파일시티 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(10,453)
  • 리포트(9,504)
  • 자기소개서(409)
  • 시험자료(328)
  • 방송통신대(152)
  • 논문(30)
  • 서식(19)
  • ppt테마(7)
  • 노하우(3)
  • 이력서(1)

"NS-2" 검색결과 21-40 / 10,453건

  • 영화 '소셜포비아'를 보고 느낀 SNS의 문제점 보고서
    연구목적1-2 연구대상1-3 영화 ‘소셜포비아’의 줄거리 요약2.영화 ‘소셜포비아’를 통해 느낀 소셜네트워크(SNS)의 문제점2-1 무분별한 sns활동에 대한 고찰2-2 sns의 특성중 ... 또한 sns의 특징 중 하나인 익명성의 문제점을 파악한다.1-2. ... 하지만 결국 자살로 밝혀지고 사실상 ’레나‘에게 악플을 단 네티즌들이 범인이라는 것이 밝혀진다.2.영화 ‘소셜포비아’를 통해 느낀 소셜네트워크(SNS)의 문제점2-1 무분별한 sns활동에
    리포트 | 5페이지 | 1,000원 | 등록일 2020.04.24
  • A+ 성인간호학 포트폴리오-수액
    NS (Normal saline, 생리식염수)종류성분NaCl 9g/L[효능효과]-수분, 전해질 결핍 시 보급(나트륨 결핍, 염소 결핍)-주사제의 용해 희석제[용법용량]염화나트륨으로서보통 ... -보통 성인 투여량은 펜타스타치로서 500~2,000mL이다.-1일 총 투여량은 70kg 환자의 경우 2,000mL(약 체중 kg당 28mL)를 초과해서는 안된다. ... Substitution Fluid & Electrolytes (SD1-2)?
    리포트 | 6페이지 | 2,000원 | 등록일 2020.07.08
  • 중환자실 다빈도 약물 모음
    or NS50ml (고용량: XULTI5 10mg + D5W 100ml or NS100ml)- 투여량 maintenance : 초기 투여속도 0.1~0.15mcg/kg/min ( ... 0.025mcg/kg/min씩 증감량)3) Morphine (마약)- mix : XMPS30 30mg +D5W or NS 30ml, XMPS30 60mg +D5W or NS60ml ... : 1~10mcg/kg/hr - 50~100mcg bolus IV or IM 가능2) Ultian (Remifentanil) (마약)- mix : XHLUL5 5mg + D5W 50ml
    리포트 | 10페이지 | 2,000원 | 등록일 2020.12.10
  • 인하대학교 / 기계공학실험A_복합재료 결과보고서
    기계공학실험B 인하대학교 기계공학과제출자 :Revision. 12022년도 2학기기계공학실험 A< A-8 복합재료 실험 >Report(오후 6조)A-1A-2A-3A-4인장 및 충격실험좌굴 ... Symetric XSymmetric yNon Symmetric xNon symmetric y2) 각 시편의 b, d, L, m, EB, σu (최대인장강도)Symmetric xSymmetric ... 및 크리프실험진동 실험동역학 실험A-5A-6A-7A-8도립 진자 및 능동진동제어모터제어금속재료복합재료이 름 :학 번 :담당교수 : 교수님담당조교 :제출일자 :기계공학실험A 2022년
    리포트 | 6페이지 | 2,500원 | 등록일 2023.04.13
  • 방송통신대학교 생활과학과 인터넷생활윤리 중간과제
    사이버 공간에서의 SNS사용 중점 지도 요소- 사이버 공간에서의 윤리의식- 사이버 공간에서 정보의 선택적 수용- 사이버 공간에서의 표현방법2. ... 자신의 의사를 SNS상에 공유할 수 있는 수순으로 교육이 진행된다면, SNS의 지나친 표현의 자유에 의한 어떠한 사회적 분쟁고 문제들을 어느 정도 해결할 수 있을 것이라 생각한다.2. ... 이유는 sns의 스마트폰과의 만남이다.
    방송통신대 | 6페이지 | 3,000원 | 등록일 2020.07.02
  • 인하대학교 기계공학실험 A 복합
    기계공학실험B 인하대학교 기계공학과Revision. 12021년도 2학기기계공학실험 A< A-8 복합재료 실험 >ReportA-1A-2A-3A-4인장 및 충격실험좌굴 및 크리프실험진동 ... ]s, [0/90]ns의 각각 x-axis, y-axis 비교 분석우선, [0/90]s와 [0/90]ns 모두 x-axis와 y-axis에서의 결과값(m, EB, σu)이 다름을 확인할 ... 실험동역학 실험A-5A-6A-7A-8도립 진자 및 능동진동제어모터제어금속재료복합재료1) 각 시편의 strain-stress curve를 매틀랩을 사용하여 plot2) 각 시편의 b
    리포트 | 5페이지 | 2,500원 | 등록일 2022.01.03 | 수정일 2022.01.07
  • 인터넷활용 정리 [이메일,SNS,컴퓨터 보안]
    참조인은 겉절이이다.2. 참조인은 일에 책임을 지지 않지만, 일의 흐름은 파악하고 있다.(ex. 프로젝트 사건 - 하사원)3. ... 인터넷(정보사회)에 익숙한 사람들sns의 현황sns가 지속적으로 성장하는 이유는? ... 여러 친구들이 말하는 것을 듣고 반응하는 것.sns의 원인1. 속도경쟁 : 3줄 요약이 필요ex. 다양하고 많은 정보를 한꺼번에 쉽고 빠르게 수용하려는 사람2.
    시험자료 | 8페이지 | 1,500원 | 등록일 2023.06.02
  • 소화기내과병동 주 사용약품 임상신규간호사
    NS· Ascobix acid 500mg/2ml/A· Pan-B-COMP 2ml/A· CerebrOlycin 10ml/A· Tramiphen 325/37.5mg : 비마약성 진통제 ... /2ml/A◇ Pneumonia - PIM· Atrovent UDV 500mcg/2ml/B· Magmil 500mg· Ventolin nebul 2.5mg/2.5ml/B· Combicin ... /A· Toraren 75mg/2ml/A◇ Fracture of lateral malleolus, closed - OS· Tomiporan 0.5g/V· Traumeel 2.2ml/
    리포트 | 2페이지 | 1,000원 | 등록일 2020.11.22
  • LRT와 RRT의 특성을 비교분석하시오
    _인구와 고용 밀도3_인구와 고용 밀도[주제 3]1_교차로 통과전 정류장(Near-side, NS)2_교차로 통과후 정류장(far-side, fs)3_미드블럭형 정류장(mid-block ... 주로 도심-근교를 연결하고 대량 수송을 위해 설계된다.2_규모 및 운송량LRT는 상대적으로 규모가 작다. ... 이 가운데 버스정류장의 위치와 관련해서 3가지의 종류로 구분할 수 있다.1_교차로 통과전 정류장(Near-side, NS)정류장이 교차로 인접 도로의 교차로 이전 측에 위치한 경우이다
    리포트 | 6페이지 | 2,000원 | 등록일 2024.01.23
  • Nephrotic syndrome(NS,신증후군) - 아동간호 case study(케이스) 2020년버전
    입원일 : 2020-11-22? 진단명 : Nephrotic Syndrome(NS, 신증후군)2. 건강력? ... 이 단계 말기에는 아동은 타인이 자신과 다른 믿음을 가질 수 있다는 것을 이해하기 시작한다.2. NS (Nephrotic Symdrome ? ... 입원동기 : 환아 NS로 f/u하는 환아로 집에서 소변 검사 시 단백뇨 나와 외래 통해 입원.?
    리포트 | 19페이지 | 3,000원 | 등록일 2021.02.08
  • Floyd의 기초회로실험 19장(펄스 측정) A+ 예비레포트
    그리고 나온 커서 1과 커서 2의 값을 보았더니 -5.0 ns와 2 ns의 값이 출력되었다. ... 그랬을 때 커서 1의 값은 -37.0 ns, 커서 2의 값은 58.0 ns 이 나왔다. ... 그리고 커서 1을 3.744 V, 커서 2를 416 mV에 맞춰주었다. 그랬을 때 커서 1의 값은 -38.0 ns, 커서 2는 47.0 ns이 나왔다.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.10.06 | 수정일 2022.03.18
  • Catalytic graphene‑mediated oxygen blocking and depletion for enhancing dual passive/active anticorrosion of epoxy coatings
    한국탄소학회 Tong Yan, Meng Cheng, Junhao Liu, Shuangqing Sun, Songqing Hu
    논문 | 14페이지 | 4,600원 | 등록일 2023.05.22
  • Coffee grounds derived sulfur and nitrogen dual‑doped porous carbon for the cathode material of lithium‑sulfur batteries
    한국탄소학회 Yating Wen, Xiaobin Wang, Jingyi Huang, Yu Li, Tao Li, Baozeng Ren
    논문 | 14페이지 | 4,600원 | 등록일 2023.12.18
  • 충북대학교 전자공학부 기초회로실험II 예비보고서 실험 19. 카운터 회로
    모든 플립플롭이 클록 입력과 정확히 동기되어 변하지 않으므로 연결도 플립플롭들 간의 응답에 동작 시간 지연이 발생하며, 이러한 시간 지연은 보통 플립플롭당 5~20ns 정도가 된다. ... 리플 캐리 계수기는 완전한 동기식 계수기와 비동기식 계수기의 중간 절충식으로 비동기식보다는 전송지연이 작고 동기식보다는 회로가 간단한 이점이 있다.위의 그림 4비트 는 twist-around ... 및 증/감계수의 논리를 이해한다.2.
    리포트 | 5페이지 | 2,000원 | 등록일 2020.09.19
  • (중학교)학교폭력 예방 및 해결 등 기여교원 가산점 신청서
    특색활동1 학급 협동 운동(2회) 6/22 외 1회?특색활동2 학급 라디오(19회 이상)?특색활동3 1인 1역 중 sns관리자 배치? ... 특색활동3 1인 1역 중 sns관리자 배치-박ㅇㅇ학생에게 sns상에서의 학생간 다툼 중재 및 교사에게 알리는 역할 부여-다툼을 전해들어 최ㅇㅇ외 6인 반성문 작성과 사과 시간 마련? ... 학생간 문제 발생 시 상담(7건)-김ㅇㅇ 학생과 이ㅇㅇ학생간 다툼으로 상담 요청받아 개별 상담 진행(4건)-김ㅇㅇ외 6인 sns상에서의 다툼으로 개별 및 집단 상담 진행, 반성문 작성과
    서식 | 3페이지 | 1,000원 | 등록일 2021.11.27
  • 서울시립대 전자전기설계2(전전설2) 4주차 사전보고서
    테스트벤치 구문에서 20ns 주기로 1과 0을 토글링 하는 신호를 생성하는 코드를 작성하시오.module Jong_and2_tb;// Inputsreg a;reg b;integer ... 1)) ~ 2^(n-1) - 1 이다. 4비트 내에서는 다음과 같이 ? ... 1111 = -1, 1110 = -2, 1101 = -3, 1100 = -4, 1011 = -5, 1010 = -6, 1001 = -7, 1000 = -84.
    리포트 | 6페이지 | 1,500원 | 등록일 2019.10.13
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 4주차 Lab04 예비 레포트 Combinational Logic 1
    -initial: 최소 한번만 실행된다.테스트벤치 구문에서 20ns 주기로 1과 0을 토글링 하는 신호를 생성하는 코드2’s complement (2진 보수) 방식으로 -8 ~ 7 ... 전자전기컴퓨터공학부 설계 및 실험2Pre La-04Combinational Logic 1실 험 날 짜학 번이 름목차1. ... ▶이름에 의한 매핑Half_adder U0 (x, y, temp_s1, temp_c2 );Half_adder U1 (s1, z, s, temp_c2 );In-Lab 실습 1 ~ 3
    리포트 | 13페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 4주차 결과 - 논리 게이트 및 부울 함수의 구현
    ⇒ B=open 상태는 B에 무엇을 입력시켜도 받지 않은 것과 동일하다.(4) 에서 inverter의 지연시간이 2ns라면 A에서 Y까지의 지연시간은 얼마인가? ... 다시 말해 2진 부호 1, 0에 각각 +V, -V 등을 대응시켜 구성하는 논리 회로 방식이다. 이와 반대로 부 논리는 1을 저전압, 0을 고전압으로 표현하거나 1, 0에 각각 ? ... 110 (4.783mV)ABY001 (4.4101V)010(0.16243V)100(0.16201V)111 (4.4122V)(a) (b)설계 및 고찰(1) AND, OR, XOR를 2-
    리포트 | 8페이지 | 1,500원 | 등록일 2020.10.01
  • 시뮬레이션 부분위절제술(수술) 후 환자 간호 환자간호 시나리오 (A+)
    O2 2L/min via Nasal prong 적용함 + 인퓨전 펌프 setting+ AST(약물 mix : ns부터 0.9ml 꺼냄.)1) Hartman 1L/day IV(60cc ... 0.9mL + NS 0.9m)“세포테탄은 (세팔로스포린(2세대)) 항생제 약물입니다. 이는 수술하신 부위의 감염을 막아주는 역할을 합니다. ... O2 2L/min via NP7. Foley cath. keep - 회음부 간호(X)8. JP keep - 8시간 간격으로 check(__cc)9.
    리포트 | 5페이지 | 6,000원 | 등록일 2019.10.19 | 수정일 2023.10.21
  • 대기업합격자기소개서 샘플
    LG cns70. 고려해운71. 한솔전자72. LG유통73. 삼성 테크윈74. LG-OTIS75. KT-276. 동부건설77. 현대건설-278. GM DAEWOO-279. ... 입사 지원 합격자들의 기업별 자기소개서 85가지1.삼성전자2.삼성전자-23.대한항공4.INI STEEL5.삼성물산6.조흥은행7. ... 학창 시절에는 친구들의 뜨거운 성원과 격려로 내리 2년을 연속 반장을 역임하면서 남들을 이끌 수 있는리더쉽을 그때부터 조금씩 배워갔던 것 같습니다.
    자기소개서 | 234페이지 | 4,000원 | 등록일 2021.12.12 | 수정일 2022.11.03
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 05일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:52 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대