• 파일시티 이벤트
  • 유니스터디 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(10,453)
  • 리포트(9,504)
  • 자기소개서(409)
  • 시험자료(328)
  • 방송통신대(152)
  • 논문(30)
  • 서식(19)
  • ppt테마(7)
  • 노하우(3)
  • 이력서(1)

"NS-2" 검색결과 81-100 / 10,453건

  • VHDL-1-가산기,감산기
    -> X=1, Y=1, Cin=0S=0, Co=15) 0~50ns -> X=0, Y=0, Cin=1S=1, Co=06) 50ns~100ns -> X=1, Y=0, Cin=1S=0, ... =0S=0, Co=02) 50ns~100ns -> X=1, Y=0, Cin=0S=1, Co=03) 100~150ns -> X=0, Y=1, Cin=0S=1, Co=04) 150~200ns ... =0D=0, Bo=02) 50ns~100ns -> X=1, Y=0, Bin=0D=1, Bo=03) 100~150ns -> X=0, Y=1, Bin=0D=1, Bo=14) 150~200n
    리포트 | 34페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.03.29
  • 컴퓨터구조론 5판 5장 연습문제
    0.6 - (1-0.6) × 0.85} × 200ns= 1.2ns + 6.8ns + 12ns = 20ns30. 20ns클록 주파수가 400MHz이므로 클록 주기는 2.5ns입니다. ... 0.85) × 200ns= 1.2ns + 5ns + 30ns = 36.2ns29. 20ns식 (5-7)을 이용하여 평균 기억장치 액세스 시간을 구하면 다음과 같습니다.Ta = 0.6 ... + 0.3 × 200ns) = 68ns28. 36.2ns식 (5-6)을 이용하여 평균 기억장치 액세스 시간을 구하면 다음과 같습니다.Ta = 0.6 × 2ns + (0.85 ?
    시험자료 | 14페이지 | 1,500원 | 등록일 2020.05.16 | 수정일 2020.06.30
  • 양자역학 계산 결과 리포트
    구하고자 하는K _{1}의 값은 0.007765016ns ^{-1}라는 사실을 확인할 수 있었다.과제 2) 480nm 데이터를 아래의 식를 이용하여 분석해본다. ... 이 때 error를 구하는 식은 (intensity의 값-model의 값)^2로 최소-자승법을 이용하였다. ... 여기서 X축은 시간(ns)을 나타내며, Y축은 Inteensity(a.u.)를 나타내고 있다.2) 위의 차트의 자료들을 위의 식을 이용하여 model이라는 함수를 그린다.
    리포트 | 4페이지 | 2,000원 | 등록일 2022.05.24
  • 연세대학교 전기전자공학부 19-2학기 네트워크실험 3주차 결과 보고서
    /ns-allinone-2.35/tk8.5.10/unixNS=/home/network/ns-allinone-2.35/ns-2.35/NAM=/home/network/ns-allinone ... 완료되면 다음의 NS2 설치 명령어를 입력하여 NS2 설치 과정을 진행한다.$ cd /home/network/ns-allinone-2.35$ sudo . ... -2.35/otcl-1.15NS2_LIB=/home/network/ns-allinone-2.35/libX11_LIB=/usr/X11R6/libUSR_LOCAL_LIB=/usr/local
    리포트 | 14페이지 | 2,000원 | 등록일 2020.08.18
  • VHDL을 통해 구현한 Counter
    (2회)175ns110010(3,gray)010Graycounter(3회)185ns001000000Cnt=000(LOW)표2 . ... (2,binary)010Binarycounter(2회)55ns111011(3,binary)011Binarycounter(3회)65ns111100(4,binary)100Binarycounter ... , rising edge마다 binary counter 실행(10회),000->0103. 130ns~150ns : rst_n=0으로, cnt=000(LOW), 20ns동안4. 150ns
    리포트 | 14페이지 | 2,000원 | 등록일 2020.12.27
  • VHDL_4_counter, sequence detector, 4way traffic light counter, Soda vending machine
    Yellowcount : 116) 620ns, clock = rising_edge, Reset = 0State : RR_2, North-South : Red, East-West : ... : 118) 700ns, clock = rising_edge, Reset = 0State : RR_2, North-South : Red, East-West : Redcount : ... Redcount : 017) 660ns, clock = rising_edge, Reset = 0State : RR_2, North-South : Red, East-West : Redcount
    리포트 | 34페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • VHDL을 통해 구현한 ShiftRegister 실습보고서
    실습에 주어진 조건2-1)TestBench source그림12, 13. ... Circular shift4-2) logical shift(논리 시프트)논리 shift이다. ... 따라서 S=R=1인 경우는 사용하지 않는다.이 외에도 D Latch도 존재한다.2)FlipFlopS-R 래치에서 클럭(Clock)이 포함된 형태로, 클럭값이 변할때만, 작동하는 회로로
    리포트 | 16페이지 | 2,000원 | 등록일 2020.12.24
  • 디집적, 디지털집적회로설계 실습과제 9주차 인하대
    결과적으로 - 이 0에 가장 가까울 때 최적화된 P/N ratio는 2.5533이라는 것을 알 수 있다.1-bit Full Adder의 Delay, Power그림 15는 1-bit ... 그림2를 살펴보자. ... 직접 구한 P/N ratio는 2.5533으로 대략 2.5의 비율이 측정되었다.
    리포트 | 9페이지 | 1,500원 | 등록일 2021.08.31
  • 사례기반간호학 실습 PASSBAR 보고서
    500cc IV 5gttCefotaxime 1.0g IV + NS 100cc q IV 8hrsMannitol 100cc q 6hrsPenytoin 2A + NS 100cc mix ... 변경시, 활력징후 - 비정상 시V/S:BP 120/80mmHg, PR 90, RR 26/sec(▲), BT 38.3˚C(▲), SpO2 94%(▼)BP 120/80mmHg, PR 80 ... ), Post-OP Lab: Hb 8.9 g/dL, JP drain 적용, O2 keep, EKG monitoringSafety concerns억제대 적용여부, 욕창, 낙상위험, 격리억제대
    리포트 | 1페이지 | 1,000원 | 등록일 2023.07.24 | 수정일 2023.07.27
  • 디지털 논리회로 8장 연습문제 해답
    결과적으로, 입력 신호에 허용되는 최단 주기는 50ns+10ns = 60ns가 된다. (1) 8-비트 리플 카운터: 8×15ns=120ns(2) 8-비트 동기식 카운터: 15ns- ... 정상적인 상태 시퀀스로 진입- 1100 경우 : 1100 → 1101 → 0110 → 0111 이므로, 2번만에 정상적인 상태 시퀀스로 진입- 1101 경우 : 1101 → 0110 ... → 0111 이므로, 1번만에 정상적인 상태 시퀀스로 진입- 1110 경우 : 1110 → 1111 → 0000 → 0001 이므로, 2번만에 정상적인 상태 시퀀스로 진입- 1111
    리포트 | 10페이지 | 2,000원 | 등록일 2020.05.17
  • 컴퓨터구조론 5장 연습문제 풀이 (개정5판, 생능출판, 김종현)
    ML1에 대한 적중률이 90%라고 했으므로 평균 기억장치 액세스 시간 = 200ns x 0.9 + 200ns x 0.1 = 18ns + 20ns = 38ns이다.답: 38ns5.2과정 ... 즉 평균기억 장치 액세스 시간 = (캐시 액세스 시간 x 캐시 적중률) + (1 - 캐시 적중률) x 주 기억장치 액세스 시간 = (8ns x 0.85) + (1 - 0.85) x ... 평균 기억장치 액세스 시간 = (캐시 액세스 시간 x 캐시 적중률) + (1 - 캐시 적중률) x (주기억장치 액세스 시간 + 검사 시간) = (8ns x 0.85) + (1 - 0.85
    리포트 | 19페이지 | 3,000원 | 등록일 2021.04.29
  • 디지털 논리회로(디논), ModelSim을 이용한 VHDL설계 (4bit full adder, 4비트 전가산기)
    입력 X의 초기값은 “0101”이고, 2ns간격으로 “1011”과 “0101”이 반복된다.3-2. ... .-4비트 가산기의 구현 조건1. 1bit full adder의 동작을 포함한다.2. 1bit full adder를 설계할 때 XOR연산을 사용하지 않는다.3-1. ... 이는 단순히 2단 AND-OR게이트 회로로 나타낸 형태인 보다 2단계가 높아졌음을 알 수 있다.4bit full adder의 설계와 구현4bit full adder는 미리 설계해둔
    리포트 | 7페이지 | 3,000원 | 등록일 2020.07.09 | 수정일 2021.10.21
  • 결과 레포트 디회 1장 디지털 회로의 동작과 Schmitt Trigger
    _{PHL}3.4ns3.4nst _{PLH}19.2ns4.4ns표 22-3 Inverter의 동작시간(수직감도 : 입력 2V, 출력 2V, 수평감도 : 10ns)(수직감도 : 입력 ... 그림 22-8에 나온대로 입력과 처음 CH2, 입력과 두 번째 CH2, 입력과 세 번째 CH2를 측정한 결과 0에서 1일 때 각각 5.5ns, 20.5ns, 25.8ns가 나왔고 1에서 ... 지연시간0에서 1로1에서 0으로입력과 처음 CH25.5ns7.1ns입력과 두 번째 CH220.5ns11.4ns입력과 세 번째 CH225.8ns12.3ns표 22-4 Gate들의 지연시간
    리포트 | 3페이지 | 1,000원 | 등록일 2020.06.08
  • 논리회로설계실험 8주차 register 설계
    동일하게 out[1]도 왼쪽 1-bit register의 input으로 입력되어 out[2]를 출력한다. ... 작동함을 알 수 있다.4.2) 8-bit shift Register8-bit shift register의 출력 wave는 위와 같이 나왔다. ... ]에 한 bit씩 출력시킴을 확인할 수 있다.3.2) 8-bit shift register (Structural modeling)8-bit shift register도 마찬가지로 1
    리포트 | 5페이지 | 3,000원 | 등록일 2023.09.11
  • 차세대메모리 반도체(MRAM, PRAM, RRAM) 발표자료
    COMMED I AContents 1 2 3 4 기존 메모리 반도체 - DRAM - NAND FLASH 차세대 메모리 반도체 - MRAM - PRAM - RRAM Conclusion ... X X Write time 50ns 1㎛ or longer 10~50ns 10~100ns 10ns Read time 50ns 20~120ns 10~1 ㎲ 10~100ns 20ns ... current 100㎂ 1㎂ or lower 1㎂ or lower 1㎂ or lower Cost 2 5 1 3 4 차세대 메모리 반도체DRAM (Dynamic Random Access
    리포트 | 20페이지 | 1,500원 | 등록일 2023.03.08
  • 유도기 동기기 MG세트의 상용 전원 구동 실험 예비보고서
    개요유도전동기의 기본 동작 원리를 알아보고 유도기-동기기 실험 세트를 이용하여 유도전동기를 상용 전원으로 구동하였을 때 무부하 및 부하 조건에서 운전 특성을 파악한다.2. ... n) / ns(2)슬립은 회전자계가 회전자 표면을 미끄러지는 정도를 나타내고 동기속도를 1로 놓았을 때의 슬립속도에 해당한다. ... 전동기의 속도는 동기속도에서 슬립을 뺀 만큼이 되고 다음과 같이 나타낼 수 있다.n = (1 – s) ns [rpm](3)그림2는 슬립에 따른 동작 상태 구분을 보여주고 있다.
    리포트 | 8페이지 | 1,000원 | 등록일 2022.02.21
  • 중심정맥관 Central Venous Catheter 교육 자료
    5ml NS flushing 또는 Heparin 250IU 2.5ml (1:100, 7 일 마다 ) 5ml NS flushing 또는 Heparin 250IU 2.5ml 10ml ... NS flushing + Heparin 250IU 2.5ml (1:100) Broviac 2ml NS flushing 또는 Heparin 150IU 1.5ml (1:100) 3ml ... 방법 준비물품 : 헥시딘 , 10cc syringe 3 개 이상 , NS, 검체 용기 , 필요 시 heparin 1. 카테터의 Clamp 를 모두 잠근다 . 2.
    리포트 | 17페이지 | 2,500원 | 등록일 2022.09.25 | 수정일 2022.09.30
  • 인하대 FPGA 실습
    따라서 50ns에서 1001(2)이 오른쪽으로 shift되고 입력으로 1이 들어온 1100(2)이 되는 것을 확인 할 수 있다. 60ns에서도 마찬가지로 1110(2)이 되는 것을 ... 1이므로 첫 번째 D-F/F에 입력 값으로 1이 들어와서 출력 Q1로 1이 나오는 것을 확인 할 수 있다. 2,3,4번째 F/F에는 그전 Q1,Q2,Q3의 값들이 입력으로 들어와 Q2 ... RESET=0의 영향으로 모든 D-F/F들의 출력이 리셋 되어 0이 된 것을 확인 할 수 있다. (0000(2) 출력 앞에서부터 Q1,Q2,Q3,Q4)#10nsRESET=1, IN=
    리포트 | 2페이지 | 20,000원 | 등록일 2022.09.09
  • 학교폭력 고소장
    정신과 진단서 내역 1부2. 피해학생 ○○ 진술서 및 휴대폰 녹취 내역 1부.3. ... 대표자)주민등록번호(법인등록번호)-주 소(주사무소 소재지)(현 거주지)직 업사무실주소전 화(휴대폰) (자택) (사무실)이메일대리인에 의한 고소□ 법정대리인 (성명 : , 연락처 )□ ... 피고소인*성 명주민등록번호-주 소(현 거주지)직 업사무실주소전 화(휴대폰) (자택) (사무실)이메일기타사항※ 기타사항에는 고소인과의 관계 및 피고소인의 인적사항과 연락처를 정확히 알
    서식 | 4페이지 | 500원 | 등록일 2023.06.23
  • a-line 동맥관 목적, 적응증, 삽입준비, 간호
    Set 준비과정1) IV stand pole에 Holder를 고정한다.2) 0.9% NS 1e fluid에 환자 바코드와 A-line 스티커를 부착한다.(3) Pressure monitoring ... 그리고 a-line에서채혈을 하여 채혈 시 환자의 통증을 줄여줄 수 있다.2. 삽입부위? radial Artery? brachial Artery? femoral Artery? ... A-line 삽입 과정1) 동의서를 확인한다.2) dressing set에 2% 헥시타놀 ET를 스폰지에 적셔 준비한다.3) 환자에게 알맞은 크기의 Vinca needle을 준비한다
    리포트 | 4페이지 | 1,500원 | 등록일 2023.03.29 | 수정일 2024.03.05
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 05일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:39 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대