• 통큰쿠폰이벤트-통합
  • 통합검색(129)
  • 리포트(114)
  • 자기소개서(10)
  • 시험자료(3)
  • 방송통신대(2)

"SPARTAN3" 검색결과 21-40 / 129건

  • 전전설2 실험2 예비보고서
    (이 룩업테이블이 램으로 구성되어 있다.)[2-3] 본 실험에서 사용할 FPGA는 Xilinx 사의 Spartan 3 Family 이고 그 중에 XC3S200 device 이다. ... B1 loc = p71; //스위치4net A2 loc = p68; //스위치5net B2 loc = p74; //스위치6net A3 loc = p50; //스위치7net B3 loc ... 각 입력된 캐리와 입력받은 A,B를 풀에더로 계산하고 출력값을 S를 통해 내보낸다.3.
    리포트 | 8페이지 | 2,000원 | 등록일 2022.11.30
  • 서울시립대학교 전전설2 2주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    PAL은 일반적으로 수백개의 논리 게이트를 구현하는 반면 FPGA는 수만에서 수백만에 이른다.두 번째로 macro cell간에 복잡한 피드백 [7] Spartan-3 FPGA의 성능System ... PLA 회로도- PAL(Programmable Array Logic) HYPERLINK \l "주석3"[3]FPGA이 1975년 이후로 판매되었지만, 이 장치는 회로 설계자에게 친숙하지 ... Introduction (실험에 대한 소개)‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥ 3가.
    리포트 | 27페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • 기기및물리화학 Gauss View를 이용한 분자 모델링 예비보고서 [IR(2)]
    화합물을 다루는 화학에서는 화합물의 데이터베이스 구축과 운용이 매우 중요하다.- 계산화학이 사용되는 분야계산화학에 쓰이는 프로그램은 Gaussian xx, GAMESS, MOPAC, Spartan ... Cartesian coordinate이 3N coordinate(좌표)를 갖는데 비해 Z-matrix coordinate는 3N-6 coordinate를 가지므로 효율적이다. ... 분자의 위상은 3가지 방법으로 설명된다.1) 데카르트 작은 분자에서만 효과적이다.
    리포트 | 7페이지 | 1,500원 | 등록일 2022.06.21
  • Financial Management 3
    Spartan Credit Bank is offering 8.1% percent compounded daily on its savings accounts. ... (5 points)41,000=C*10.309853C=3,9760782. ... (5 points)X=384You must deposit $384 each year.3.
    리포트 | 10페이지 | 2,000원 | 등록일 2020.07.23 | 수정일 2021.10.28
  • 계산화학 예비보고서
    계산화학에 쓰이는 프로그램은 Gaussian xx, GAMESS, MOPAC, Spartan,Sybyl 등이 있는데, Schrodinger 식을 통해 원자와 분자의 수학적 모델을 제시해 ... C1, O2, H3와의 이면각은 180°이다.C1O2 1 1.22H3 1 1.09 2 120.0C4 1 1.54 2 120.0 3 180.06. ... 다음으로 H3 원자를 찾는다. C1과의 결합길이는 1.09Å이다. 첫 번째 3개의 원자들과 형성된결합각은 120°이다.C1O2 1 1.22H3 1 1.09 2 120.05.
    리포트 | 20페이지 | 2,500원 | 등록일 2021.06.19 | 수정일 2023.06.17
  • 서울시립대 전전설2 Lab-02 예비리포트 (2020 최신)
    본 실험에서 사용하는 것은 Spartan 3 이므로 오른쪽 열을 참고하면 된다.Combo-2 SE 활용설명서 부록을 참고한 결과 Button SW1을 사용하려면 63번, LED1은 ... 0]은 Bus SW 1~4로 B[3:0]은 Bus SW 5~8로 한다. ... 이렇게 carry가 chain을 일으키며 더해지는 4-bit adder가 4-bit ripple carry full adder이다.3.
    리포트 | 10페이지 | 1,500원 | 등록일 2021.09.10
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 예비레포트 3주차 Lab03 Introduction to Verilog HDL
    실험 장치Laptop - ISE Digital Design Tool (Version - 14.7)HBE-ComboⅡ-SE Board (included - Xilinx Spartan3 ... 0]와 b[3:0]의 XOR 출력 y[3:0]를 다음의 각 방법으로 구현하시오. ... == 4) : 3과 4는 다르니까 거짓, 0이 반환되어 a에 0을 저장한다.a = (3 !
    리포트 | 17페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 서울시립대학교 전전설2 전자전기컴퓨터설계실험2 결과와 예비레포트 동시에 2주차 Lab02 Schematic Design with Logic Gates
    컴퓨터 메모리(computer memory)는 컴퓨터에서 수치·명령·자료 등을 기억하는 컴퓨터 하드웨어 장치를 가리킨다.본 실험에서 사용할 FPGA는 Xilinx 사의 Spartan ... 3 Family이고 그 중에 XC3S200 device이다. ... ▲spartan-3 FPGA 요약위 표에서 XC3S200을 살펴보면 System Gates의 수가 200,000이고 Logic Cells(논리소자/셀 개수)의 수는 4320이다.본
    리포트 | 28페이지 | 3,000원 | 등록일 2020.07.27 | 수정일 2020.09.16
  • 계산화학 예비보고서
    계산화학에 쓰이는 프로그램은 Gaussian xx, GAMESS, MOPAC, Spartan,Sybyl 등이 있는데, Schrodinger 식을 통해 원자와 분자의 수학적 모델을 제시해 ... C1, O2, H3와의 이면각은 180°이다.C1O2 1 1.22H3 1 1.09 2 120.0C4 1 1.54 2 120.0 3 180.06. ... 다음으로 H3 원자를 찾는다. C1과의 결합길이는 1.09Å이다. 첫 번째 3개의 원자들과 형성된결합각은 120°이다.C1O2 1 1.22H3 1 1.09 2 120.05.
    리포트 | 19페이지 | 1,500원 | 등록일 2020.09.14 | 수정일 2022.06.09
  • 서강대학교 21년도 디지털논리회로실험 4주차 결과레포트 (A+자료) - Multiplexer, Tri-State, Exclusive-OR gate
    진리표STEP 10:표9-1에서 볼 수 있듯이 그림9-1의 회로는 DIO1, DIO0의 조합에 따라 입력신호 DIO2~DIO5를 출력과 연결하는 기능을 한다는 것을 알 수 있었다.Spartan ... 둘의 구분이 불가능했다.STEP 3:다음의 회로를 구성한다.그림3-1 74LS125와 74LS04를 이용한 회로그림3-2 그림3-1회로의 구현STEP 4:입력 DIO0, DIO1, ... 이 경우 소자에는 Hi-Z를 내보낼지 안 내보낼지를 결정하는 output enable (output disable) 입력 핀이 필요하게 된다.그림3그림3과 같은 소자의 경우, output
    리포트 | 35페이지 | 2,000원 | 등록일 2022.09.18
  • 계산화학 조사 보고서
    화합물의 데이터베이스 구축과 운용이 극히 중요한 위치를 차지하는 것이다.2) 계산화학에 필요한 프로그램계산화학에 쓰인 프로그램은 Gaussian xx, GAMESS, MOPAC, Spartan ... , B3P86 등이 있다.이상의 ab initio 방법은 모든 적분을 다 수행해야 하기때문에 많은 계산량이 요구된다. ... 컴퓨터 화학에는 분자궤도(MO:Molecular Orbital) 계산과 계산기 시뮬레이션, 그리고 데이터베이스의 3개 영역이 포함된다.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.06.04
  • 논리회로설계실험 스탑와치(stopwatch) 레포트
    누르면 다시 시작하고, stop후 reset을 누르면 0이 초기화된다. stop스위치를 누를 때 현재 시간에서 멈추고 다시 누를 때 시간이 가도록 설계하는 스탑워치를 Xilinx(Spartan ... 3)을 통해 구현해본다.(2) 설계 방법이렇게 크게 이렇게 세 개로 나누어져 있다.각각을 살펴보면1. ... 아래의 7 segment 그림에서 예를 들면, 숫자 3은 a, b, c, d, g에 빛을 냄으로써 표현할 수 있다.
    리포트 | 13페이지 | 7,000원 | 등록일 2021.10.09
  • VHDL코드를 이용한 spartan-3 보드구현(spartan 보드 사용법)
    전자전기컴퓨터설계실험ⅢThe Usage of Xilinx ISE on Spartan-3목 차 HYPERLINK \l "실험목적" 실험 목적 HYPERLINK \l "실험도구" 실험 ... FPGA: XC3S200 (Optional XC3S50, XC3S400)11. LED : 8EA12. ... 과정을 익혀본다.그리고 PROM파일 생성 후에 실제 보드에 구현해 볼 수 있도록 준비한다.실험 도구personal computer, Xilinx ISE 9.2i program, Spartan
    리포트 | 29페이지 | 3,000원 | 등록일 2008.06.01
  • LG하우시스 경력 PPT 자료
    , S3C2410, RTL8186, STM32F4x Memory : SDR/DDR3, NOR, Parallel NAND, SPI NAND FPGA : Xilinx Spartan3AN ... 이나 완제품의 불량 유무를 판별하기 위한 화면 검사기 담당업무 - FPGA 설계 : 영상 인터페이스 입출력 설계 , Frame Buffer 등의 VHDL 설계 - 회로 설계 : Spartan6 ... FastBitError , BER, Thermal PWR (Agilent-E5515C) PCB Pattern 설계 후 50 옴 매칭 확인 (HP-8753D) Device Xilinx Spartan6
    자기소개서 | 5페이지 | 3,000원 | 등록일 2018.12.19 | 수정일 2019.01.23
  • DECODER, ENCODER
    이는 세부과정(Translate, Mapping, Placement & Route)으로 구성되어 있다.Spartan-3Spartan-3 모형도Spartan-3▶ FPGA(Field ... Spartan-3보드를 사용하여 ASIC을 설계하고 검증하며, FPGA로 구현하여 ASIC설계를 시험해 볼 수 있다.▶ Spartan-3의 특징전원공급 최대 5v까지 사용 가능확장 ... 반면 단점으로 프로그램을 위한 배선구조 때문에 속도나 집적도가 떨어진다.▶ Spartan-3의 사용목적IC설계를 검증하는 수단으로서 하드웨어적 에뮬레이션을 위한 도구이다.
    리포트 | 13페이지 | 1,000원 | 등록일 2010.03.26
  • DECODER
    이는 3개의 세부과정(Translate, Mapping, Placement & Route)으로 구성되어 있다.Spartan-3Spartan-3 모형도Spartan-3▶ FPGA(Field ... Spartan-3보드를 사용하여 ASIC을 설계하고 검증하며, FPGA로 구현하여 ASIC설계를 시험해 볼 수 있다.▶ Spartan-3의 특징전원공급 최대 5v까지 사용 가능확장 ... POST REPORTThe usage of Xilins ISE on Spartan-3자일링스의 '스파르탄-3A DSP Hyperlink "http://www.eetkorea.com/
    리포트 | 9페이지 | 1,000원 | 등록일 2010.03.26
  • COUNTER
    이는 세부과정(Translate, Mapping, Placement & Route)으로 구성되어 있다.Spartan-3Spartan-3 모형도Spartan-3▶ FPGA(Field ... Spartan-3보드를 사용하여 ASIC을 설계하고 검증하며, FPGA로 구현하여 ASIC설계를 시험해 볼 수 있다.▶ Spartan-3의 특징전원공급 최대 5v까지 사용 가능확장 ... 반면 단점으로 프로그램을 위한 배선구조 때문에 속도나 집적도가 떨어진다.▶ Spartan-3의 사용목적IC설계를 검증하는 수단으로서 하드웨어적 에뮬레이션을 위한 도구이다.
    리포트 | 11페이지 | 1,000원 | 등록일 2010.03.26
  • BCD to EXCESS-3 CODE CONVERTER
    이는 세부과정(Translate, Mapping, Placement & Route)으로 구성되어 있다.Spartan-3Spartan-3 모형도Spartan-3▶ FPGA(Field ... Spartan-3보드를 사용하여 ASIC을 설계하고 검증하며, FPGA로 구현하여 ASIC설계를 시험해 볼 수 있다.▶ Spartan-3의 특징전원공급 최대 5v까지 사용 가능확장 ... 반면 단점으로 프로그램을 위한 배선구조 때문에 속도나 집적도가 떨어진다.▶ Spartan-3의 사용목적IC설계를 검증하는 수단으로서 하드웨어적 에뮬레이션을 위한 도구이다.
    리포트 | 10페이지 | 1,000원 | 등록일 2010.03.26
  • FF, SP CONVERSION
    이는 세부과정(Translate, Mapping, Placement & Route)으로 구성되어 있다.Spartan-3Spartan-3 모형도Spartan-3▶ FPGA(Field ... Spartan-3보드를 사용하여 ASIC을 설계하고 검증하며, FPGA로 구현하여 ASIC설계를 시험해 볼 수 있다.▶ Spartan-3의 특징전원공급 최대 5v까지 사용 가능확장 ... 반면 단점으로 프로그램을 위한 배선구조 때문에 속도나 집적도가 떨어진다.▶ Spartan-3의 사용목적IC설계를 검증하는 수단으로서 하드웨어적 에뮬레이션을 위한 도구이다.
    리포트 | 14페이지 | 1,000원 | 등록일 2010.03.26
  • ADDER COMPARATOR
    이는 세부과정(Translate, Mapping, Placement & Route)으로 구성되어 있다.Spartan-3Spartan-3 모형도Spartan-3▶ FPGA(Field ... Spartan-3보드를 사용하여 ASIC을 설계하고 검증하며, FPGA로 구현하여 ASIC설계를 시험해 볼 수 있다.▶ Spartan-3의 특징전원공급 최대 5v까지 사용 가능확장 ... 반면 단점으로 프로그램을 위한 배선구조 때문에 속도나 집적도가 떨어진다.▶ Spartan-3의 사용목적IC설계를 검증하는 수단으로서 하드웨어적 에뮬레이션을 위한 도구이다.
    리포트 | 21페이지 | 1,000원 | 등록일 2010.03.26
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:03 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대