• 통큰쿠폰이벤트-통합
  • 통합검색(129)
  • 리포트(114)
  • 자기소개서(10)
  • 시험자료(3)
  • 방송통신대(2)

"SPARTAN3" 검색결과 41-60 / 129건

  • 서울시립대학교 전자전기컴퓨터설계실험2 제03주 Lab02 Post
    『HBE-ComboⅡ-SE』board,Lab#02 『Xilinx Spartan3』FPGA chip,『ISE』digital design tool실험 날짜2016. 9. 19학번이름Professor조교Expected ... Sequence가 잘 지켜졌는지 확인한다면 시간을 더 단축할 수 있을 것이다.Reference교안 - Lab#02 『HBE-ComboⅡ-SE』board,Lab#02 『Xilinx Spartan3 ... 총 Input은 3개이므로 Bus Switch 3개를 사용한다.
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • 서울시립대학교-전자전기컴퓨터설계실험2-제03주-Lab02-Pre
    『HBE-ComboⅡ-SE』board,Lab#02 『Xilinx Spartan3』FPGA chip,『ISE』digital design tool실험 날짜2016. 9. 19학번이름Professor조교실험 ... 확인할 수 있다.실험 도구Laptop - ISE Digital Design Tool (Version - 14.7)HBE-ComboⅡ-SE Board (included - Xilinx Spartan3 ... Pre-lab Report전자전기컴퓨터설계실험Ⅱ3주차.
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • 시립대 전전설2 [2주차 결과] 레포트
    www.researchgate.net/figure/Half-adder-circuit-diagram_fig1_2720153892) https://es.scribd.com/document/39164163/Spartan ... 설정해준다.2) schemetic으로 들어가서 half adder를 xor과 and 게이트의 병렬로 만들어 준다.3) half adder를 wizard를 통해 실제 핀과 형체가있는 ... 실험 순서(1) Procedure of Lab 1.XOR_GATE의 출력을 확인해라1) 자일링스를 키고 자일링스에서 New Project Wizard에서 family: spatan3,
    리포트 | 8페이지 | 2,000원 | 등록일 2019.07.29
  • 서울시립대학교 전자전기컴퓨터설계실험2 제10주 Lab09 Pre
    연속으로 전송한다.ToolsLaptop - ISE Digital Design Tool (Version - 14.7)HBE-ComboⅡ-SE Board (included - Xilinx Spartan3
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • 서울시립대학교 전자전기컴퓨터설계실험2 제11주 Lab10 Pre
    작동을 확인한다.ToolsLaptop - ISE Digital Design Tool (Version - 14.7)HBE-ComboⅡ-SE Board (included - Xilinx Spartan3 ... 분(minute)을 수정할 수 있다. 60분까지 올라가면 자동적으로 00분으로 변경되며, 1hour가 추가된다.bus switch 1이 올라간 상태에서 button switch 3을 ... 1분을 올리고 00초로 초기화되며, 60분이 될 경우 1시간을 올리고 00분으로 초기화한다. 24시가 될 경우 00시 00분 00초로 초기화된다.Source Code – Part 3LCD의
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • VHDL코드를 이용한 spartan-3 보드 구현(spartan 보드 사용법)
    전자전기컴퓨터설계실험ⅢThe Usage of Xilinx ISE on Spartan-3목 차 HYPERLINK \l "실험목적" 실험 목적 HYPERLINK \l "실험도구" 실험 ... Specify the experiemental results obtained in the class in detail.< 이번 실험에 사용 되었던 SPARTAN-3E Board의 모습 ... -3 Board, JTAG cablesPost Lab1.
    리포트 | 7페이지 | 2,000원 | 등록일 2008.06.01
  • 서울시립대학교-전자전기컴퓨터설계실험2-제09주-Lab08-Pre
    따른 주파수ToolsLaptop - ISE Digital Design Tool (Version - 14.7)HBE-ComboⅡ-SE Board (included - Xilinx Spartan3 ... [실험 3] Piezo ControllerAdd SourceSource Codecnt_sound 변수를 reset switch가 눌리지 않았을 경우에 1씩 count한다. 3옥타브 ... 위와 같이 programming할 경우, 3옥타브의 ‘도’음이 출력될 것이다.Pin Assignment CodeReset switch를 변수 rstn으로 할당한다.1MHz clock을
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • 06년 성균관대학교 편입기출(오전) 문제와 해설
    (A) away (B) well(C) forever (D) wrong(E) on3. ... 몹시 추운 Spartan a. 엄격하고 간소한 scroll n. 두루마리 책 apart ad. 한쪽에,떨어져서 founding father n. ... ] In years past, Lee Kuan Yew's office was famous among visitors for its arctic airconditioning and Spartan
    시험자료 | 21페이지 | 2,000원 | 등록일 2017.05.23
  • 서울시립대 전자전기컴퓨터설계실험2 제06주 Lab05 Pre
    of Mux실험 도구Laptop - ISE Digital Design Tool (Version - 14.7)HBE-ComboⅡ-SE Board (included - Xilinx Spartan3 ... Assignment CodeBus Switch 1, 2, 3을 Input으로, LED 1, 2, 3, 4, 5, 6, 7, 8을 Output으로 설정해준다.Behavioral Simulation기본적인 ... 향상하고 조합 논리 회로를 이해한다.실험에 필요한 배경 지식DecoderN bit의 binary 입력 신호를 개의 출력 신호로 변환시키는 동작을 수행한다.Truth Table of 3
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • 서울시립대학교-전자전기컴퓨터설계실험2-제07주-Lab06_Pre
    Flip-flop.ToolsLaptop - ISE Digital Design Tool (Version - 14.7)HBE-ComboⅡ-SE Board (included - Xilinx Spartan3 ... Output의 값이 변하는 sequence는 q[3:1]의 값을 q[2:0]에 넣어주며, data_in의 값을 q[3]에 넣어주는 것이다. ... switch 1을 clk로, LED 1, 2, 3, 4를 Output으로 설정해준다.
    리포트 | 6페이지 | 1,500원 | 등록일 2017.09.04
  • 서울시립대학교-전자전기컴퓨터설계실험2-제08주-Lab07-Pre
    MachineToolsLaptop - ISE Digital Design Tool (Version - 14.7)HBE-ComboⅡ-SE Board (included - Xilinx Spartan3 ... clock.Output value is determined by state.Moore MachineOne kind of state machine.A Moore Machine has 3 ... of state machine.State is changed by current state and input change.A Mealy Machine has 2 states and 3
    리포트 | 7페이지 | 1,500원 | 등록일 2017.09.04
  • 서울시립대학교 전자전기컴퓨터설계실험2 제04주 Lab03 Pre
    Module실험 도구Laptop - ISE Digital Design Tool (Version - 14.7)HBE-ComboⅡ-SE Board (included - Xilinx Spartan3 ... out3, a, b, c)norU4(out4, a, b)xorU5(out5, c, b, a)xnorU6(out6, a, b)Assignmentreg, integer, real, time ... Primitive인 AND, XOR, OR 등을 이용하여 Modeling하는 과정이다.Example of Gate PrimitiveandU1(out1, a, b)nand(out2, a, b)orU3(
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • 전자전기컴퓨터설계실험2(전전설2)2주차예비
    Xilinx Spartan3스파르탄 계열은 제품들 중 저가형 부품이며 버텍스 소자보다 동작속도가 느리다.다. ... PreliminaryReport주 제: Lab#02 『HBE-ComboⅡ-SE』 board,『Xilinx Spartan3』 FPGA chip,『ISE』 digital design tool지도교수 ... Half_adder symbol 생성3. 시트에 Full_adder를 생성전가산기는 반가산기 두 개와 OR gate 하나로 구성된다.
    리포트 | 12페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 전전컴실험Ⅱ 06반 제05주 Lab#04 [Arithmetic Logic, Comparator] 예비 보고서
    -3에서 실제로 구동해 본다. ... Materials & Methods (실험 장비 및 재료와 실험 방법) ‥‥‥ 3가. 실험을 통해 구하고자 하는 데이터와 이를 획득하기 위한 실험 순서 ‥‥ 3나. ... -3에서 실제로 구동해 봄으로서 감산기의 개념을 정확히 파악할 수 있다.나.
    리포트 | 9페이지 | 1,000원 | 등록일 2013.09.09 | 수정일 2013.09.15
  • 서울시립대 전자전기컴퓨터설계실험2 제05주 Lab04 Pre
    Subtractor실험 도구Laptop - ISE Digital Design Tool (Version - 14.7)HBE-ComboⅡ-SE Board (included - Xilinx Spartan3 ... 0] + B[3:0] = S[3:0] 임을 확인할 수 있다. ... 를 얻는다.Pin Assignment CodeBus Switch 1, 2, 3, 4, 5, 6, 7, 8과 Button Switch 1을 Input으로, LED 1, 3, 4, 5,
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • 전자전기컴퓨터설계실험2(전전설2)2주차결과
    Xilinx Spartan3스파르탄 계열은 제품들 중 저가형 부품이며 버텍스 소자보다 동작속도가 느리다.다. ... PostReport주 제: Lab#02 『HBE-ComboⅡ-SE』 board,『Xilinx Spartan3』 FPGA chip,『ISE』 digital design tool지도교수 ... Half_adder symbol 생성3. 시트에 Full_adder를 생성전가산기는 반가산기 두 개와 OR gate 하나로 구성된다.
    리포트 | 15페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 논리회로설계실험 스톱워치 설계과제2 결과보고서
    이를 사용하여 Xilinx(Spartan 3)를 이용하여 Stopwatch 코드를 설계하여 보았다.선언부 소스코드Stopwatch에서 사용될 input과 output을 선언해 주었다 ... 그리고 일의 자리 수를 의미하는 값인 min(3 downto 0), sec(3 downto 0), c로 인가하는 클럭의 주기가 가시적으로 ‘그렇게 보이도록’ 설계되었기 때문이다. ... segment부터 DIGIT_CON[0], DIGIT_CON[1], ᆞᆞᆞ, DIGIT_CON[5]의 signal name을 사용)에 대하여 DIGIT_CON[5], DIGIT_CON[3]
    리포트 | 9페이지 | 8,000원 | 등록일 2018.01.10
  • [인터넷과 정보사회 공통] 1. 최근 1년간의 웹 브라우저 점유율(PC 및 모바일 전체)을 조사하고 국내와 해외 웹 브라우저 점유율이 다른 이유를 분석하시오. 2. 최근 이슈로 떠오르고 있는 AR 및 VR에 필요한 HMD 기기(예 이큘러스 리프트, hTC 바이브, 플레이스테이션 VR 등) 2가지를 조사(또는 사용) 해보고 특징과 장단점을 서술하시오.
    MS 내부에서는 프로젝트명 스파르탄(Spartan)으로 있어 많은 사람들이 애용하고 있다. ... HMD 기기의 특징과 장단점1) 이큘러스 리프트(1) 개념(2) 특징(3) 장단점(4) 사진첨부2) hTC 바이브(1) 개념(2) 특징(3) 장단점(4) 사진첨부3) 플레이스테이션 ... VR(1) 개념(2) 특징(3) 장단점(4) 사진첨부Ⅰ.
    방송통신대 | 9페이지 | 4,500원 | 등록일 2017.04.07 | 수정일 2017.04.09
  • 01-논리회로설계실험-예비보고서
    CPLD, FPGA 사용 실례 조사하여 적으시오.- CPLD : 자일링스 社의 CoolRunner 및 XC9500, 알테라 社의 MAX- FPGA : 자일링스 社의 Virtex 및 Spartan ... 4 조학 번 : 2011311307이 름 : 김영관제 출 일 : 2015. 3. 11논리회로설계 실험 예비보고서 #1실험 1. ... 그러나 세부적인 동작지연시간까지 계산이 가능하기 때문에 회로의 효율성이나 속도를 높이는 데에는 최적일 것이다.3.
    리포트 | 9페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • Lab#03 Verilog HDL
    Methods1) AND Gate 프로젝트 생성가) Top level Source : HDL나) FPGA Chip Select(1) Familly : Spartan3(2) Device ... UCF파일은 실험3과 같이 a0,a1,a2,a3와 b0,b1,b2,b3를 Bus switch에 배정해 주고, Cin은 Button Switch 1번에 인가해주었다. ... Introduction3가. Purpose of this lab3나. Essential backgrounds32. Materials & Methods5가. Materials5나.
    리포트 | 20페이지 | 1,500원 | 등록일 2016.09.11
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:01 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대