• 통큰쿠폰이벤트-통합
  • 통합검색(147)
  • 리포트(143)
  • 자기소개서(3)
  • 논문(1)

"Testbench 코드" 검색결과 21-40 / 147건

  • 연세대학교 전기전자공학부 20-1학기 기초디지털실험 final project 보고서
    After writing the start code, the testbench always read at the address of the finish code. ... Finally, the finish code, 87654321, is read at 323,225ns. ... The logic for implementing the design is introduced first and the specific design with Verilog code appears
    리포트 | 25페이지 | 10,000원 | 등록일 2020.08.18
  • Modesim Verilog Rising Edge Detector
    코드로 구성되어 있으며testbench 코드에서 원하는 input ( sequence_in ) value 만 조정하여서사용하면 됩니다. ... Modelsim 에서 verilog 를 이용하여 Rising Edge를 검출하는 코드입니다.Rising_Edge_Detector.v 코드와tb_Rising_Edge_Detector.v
    리포트 | 3페이지 | 5,000원 | 등록일 2022.06.04
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab04(결과) / 2021년도(대면) / A+
    이 때 half_adder의 ucf 파일은 remove하고 full_adder 용으로 ucf 파일을 새로 만든다.)Source code- full_adder- U1-half_adder ... 이상 포함되는 테스트벤치로 시뮬레이션 후 장비로 동작 검증하시오.Source codeTestbenchPin testbench 시뮬레이션 결과 설계한 four-bit comparator의 ... Behavioral level modeling: if문 사용Source codeTestbenchPin testbench 시뮬레이션 결과 설계한 four-bit Full Adder의
    리포트 | 13페이지 | 2,000원 | 등록일 2022.07.16
  • 고려대학교 디지털시스템실험 A+ 11주차 결과보고서
    Data Path 코드2. Data Path testbench토의이번 실험을 통해 컴퓨터가 폰 노이만 구조로 이루어져 있다는 것을 알게 되었습니다. ... 코드를 작성하면서 간단한 동작을 구현하는 데 매우 복잡한 코드가 필요하다는 것을 느꼈고, 한 글자의 실수로 아예 값이 출력되지 않는 경험을 많이 하였습니다.
    리포트 | 5페이지 | 2,000원 | 등록일 2023.06.21
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(결과) / 2021년도(대면) / A+
    변환하여 FND array에 -128~127로 표현하는 모듈을 디자인 하시오.Source codeTestbenchPIN testbench 시뮬레이션 결과 설계서 up counter로 ... Result of this lab(1) [실습 1] 4-bit up counter의 출력 값을 single FND에 표시하시오.Source codeTestbenchPIN testbench ... 코드에서 buff가 positive clock일 때마다 ~buff(0에서 1로, 1에서 0으로)로 동작하고, 이는 아주 짧은 pulse 신호를 내는 것이다(음파 생성).
    리포트 | 17페이지 | 2,000원 | 등록일 2022.07.16
  • 논리회로실험(VHDL 및 FPGA실습) 이론 및 실험결과 레포트
    PurposeXilinx프로그램과 VHDL code를 이용해 기초적인 조합논리회로와 4 bit full adder & subtracter를 설계해 본다. ... 이를 통해 Xilinx프로그램과 VHDL code에 대해 익히고 4 bit full adder & subtracter의 작동원리에 대해 알아본다.2. ... 상태에 따라M:0 -> s=x+yM:1 -> s=x-y 가 된다.이를 적용해 4비트 가/감산기를 설계하면 다음 그림과 같다.설계한 4 bit 가/감산기를 Xilinx에 VHDL code
    리포트 | 53페이지 | 8,000원 | 등록일 2022.01.25 | 수정일 2022.02.08
  • 8-bit Register&8-bit Shift Register verilog code/플립플롭을 이용한
    11주차 결과 레포트- 8-bit Register & 8-bit Shift Register -과목명 HDL응용설계담당교수제출일전공학번이름Module 코드testbench 코드Module ... 코드8-bit RegisterD_FF 하위 모듈8-bit Shift Registertestbench 코드8-bit Register8-bit Shift RegisterSimulation8
    리포트 | 5페이지 | 1,500원 | 등록일 2021.05.17
  • 시립대 전전설2 A+ 5주차 예비레포트
    실험 내용1) 실습 12:4 Decoder 로직에 대하여 다음의 Verilog 코드를 참조하여 module을 디자인하시오.Testbench를 작성하고 100ns마다 A = 2’b00 ... 기호 사용가능casex문: x와 z를 don’t care로 취급하여 해당 비트를 비교에서 제외예시2) [실습 1~5]에 대한 코드 및 Simulation실습 1decoder2to4. ... a : b;(연속 사용) assign out = (cond == 2’b00) ? in0 :(cond == 2’b01) ? in1 :(cond == 2’b10) ?
    리포트 | 26페이지 | 2,000원 | 등록일 2024.09.08
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab07(예비) / 2021년도(대면) / A+
    [실습 1] 교안의 Moore 머신과 Mealy 머신의 코드를 따라서 실습해보시오.- Moore MachineSource codeTestbenchPIN testbench 시뮬레이션 ... 확인하시오.Source codeTestbench testbench 시뮬레이션 결과b. ... testbench 시뮬레이션 결과4.
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.16
  • 논리회로설계실험 8주차 register 설계
    채워 넣어 testbench 코드를 작성하였다. ... 마지막으로 testbench 코드를 작성하여 Modelsim의 simulation을 이용하여, 구현한 두개의 register wave를 관찰하고 정상 작동하는지 확인한다.2) Theoretical ... 위의 schematic을 structural modeling으로 코드를 작성하면 다음과 같다.1-bit register에 in[7:0]에서 한 bit씩 입력시킨 결과를 out[7:0
    리포트 | 5페이지 | 3,000원 | 등록일 2023.09.11
  • multiplexer(멀티플렉서) VHDL 실습보고서
    코드8-1multiplexer을 기반으로한 Testbench 앞부분(선언부) 입니다. ... 포트와 내부신호가 선언 됩니다.그림 9. 8-1multiplexer TestBench 코드8-1multiplexer을 기반으로 한 TestBench 뒷부분(값을 대입)입니다. ... 지난 실습에 이어 component를 이용하여 실습하는데, 자일링스 상에서 먼저 2-1multiplexer을 모듈로 만들고, 이 모듈을 component로 불러오는 방법을 이용하여
    리포트 | 12페이지 | 2,000원 | 등록일 2020.12.20 | 수정일 2020.12.27
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab07(결과) / 2021년도(대면) / A+
    타이밍 시뮬레이션으로 확인하시오.Source codeTestbench testbench 시뮬레이션 결과(2) [실습 1] 교안의 Moore 머신과 Mealy 머신의 코드를 따라서 ... Moore MachineSource codeTestbenchPIN testbench 시뮬레이션 결과 설계한 Moore Machine의 동작을 확인하는 모습- 디자인 설명(Moore ... Mealy MachineSource codeTestbenchPIN testbench 시뮬레이션 결과 설계한 Mealy Machine의 동작을 확인하는 모습- 디자인 설명(Mealy
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • [인하대 전자기초디지털논리설계]VHDL을 이용한 4bit Full Adder 설계
    Adder의 test bench 코드: 4bit Full Adder의 Testbench 코드에서는 먼저, 과제의 조건에 맞게 X, Y, Cin의 초기값을 설정했다. ... )` OPLUS `C _{입력} `#=`AB`C _{입력} `+`A prime B`C _{입력} prime `+`AB prime C _{입력} prime `+`A prime B` ... prime C _{입력} `그림 1: 1 bit Full Adder의 결선도그림 2: 1 bit Full Adder의 회로도Cout =C _{입력} `=`C _{입력} (A OPLUS
    리포트 | 4페이지 | 1,500원 | 등록일 2022.03.14
  • 디지털 논리회로(디논), ModelSim을 이용한 VHDL설계 (4bit full adder, 4비트 전가산기)
    이때 시그널 C는 전가산기의 캐리 출력을 받아 다음 비트 가산기의 입력이 된다.Figure SEQ Figure \* ARABIC 2 4bit full adder를 구현한 코드Testbench ... 이때 fulladder_4의 내부 시그널 C로 객체들의 사이를 연결한다. ... 적고, X와 Cin은 not 연산을, Y는 srl연산을 각 신호들의 주기마다 실시하도록 했다.Figure SEQ Figure \* ARABIC 3 4bit full adder의 testbench
    리포트 | 7페이지 | 3,000원 | 등록일 2020.07.09 | 수정일 2021.10.21
  • [논리회로설계실험]VHDL을 활용한 Calculator 설계
    딥스위치(8비트)3)process별 역할 설명상위에 lcd_display 모듈을 만들고, component형식으로, lcd_test와 data_gen을 불러오는 방식으로 코드를 구성한다 ... Source & Results1)VHDL Source1-1)Lcd_display1-2)lcd_test1-3)data_gen2)TestBench source3)Result wave7+ ... 8=0F4+5=09F+B=1AE+8=16F-8=078-E=-06Testbench를 operand의 값을 바꿔가는 방식으로 작성하여, 6개의 결과를 도출하였다.4.Result analysis딥스위치의
    리포트 | 17페이지 | 2,000원 | 등록일 2021.06.26
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2, 3주차, Lab03, Introduction to Verilog HDL, 자세한 설명, 결과레포트
    test bench란 설계한 logic을 simulation할 때 simulation을 원활하게 하기 위해서 작성하는 simulation만을 위한 별도의 code이다.▲ Test Bench ... 토의(1) 1-bit full adder 회로의 test bench본 실험에서는 프로그래밍을 하고 synthesize를 한 뒤 항상 testbench 파일을 작성하였다. ... Bench로 다시 입력되는 과정을 갖는다.2) 1-bit full adder’s test benchLab03의 교안에는 1-bit full adder를 시뮬레이션 하기 위하여 다음의 testbench
    리포트 | 15페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 기초전자회로실험 - Moore & Mealy Machine 예비레포트
    C언어의 컴파일 과정과 비슷하다고 보면 된다.4) Simulation Sources 폴더에 testbench파일을 만들어, 설계된 회로에 넣을 입력값이나 클록신호를 verilog코드로 ... 여기서 synthesis는 코드를 합성시키면서 디버깅을 하며, 코드에 문제가 없으면 실질적인 가상회로의 동작방식을 완성시킨다. ... 폴더에 vhd파일을 생성하고 설계할 모듈동작을 verilog코드로 작성(구현)하고 synthesis를 실행한다.
    리포트 | 7페이지 | 2,000원 | 등록일 2021.02.27
  • 디지털시스템실험 2주차 결과보고서
    좌측의 Verilog 코드를 생성하였다.5. ... Family & Device Setting 페이지에서 Device Family : Cyclone ⅣE / Device : EP4CE30F23C8 로 설정하였다.3. ... Instance 창에서 Proj01_TB를 선택하고 마우스 오른쪽 버튼을 눌러 Add Wave 항목을 선택하여 Wave 창에 TestBench 입, 출력 신호를 추가하였다.8. run
    리포트 | 2페이지 | 1,000원 | 등록일 2020.07.29
  • 서울시립대학교 전전설2 7주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    설명Simulation 결과Pin 연결testbench- 개발 설명위 디자인의 설계는 state diagram을 기본으로 설계를 진행하였습니다. state는 총 5단계로 이루어져있고 ... 결정하는 조합회로 블록에서 변수 활용)state에 따라서 출력을 변화시킨다.state가 4인 경우 out에 1을 저장한다.My_application_by_moore_machine코드 ... 되는 형식으로 만들었습니다.그리고, 한 주기의 출력이 발생하면, 무조건 다음 주기는 출력이 0이 되도록 설정을 해주었습니다.설계 2) delay를 주기 위해 reg형 변수를 활용한 코드Input_sync_by_delayTest
    리포트 | 12페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • Full adder VHDL 실습보고서(전가산기)
    이렇게 하면 기본적인 회로도가 모두 완성됩니다.2)Test bench source그림 6. 4bit adder/subtractor Testbench 코드선언 부분입니다. ... VHDL에서 만들어 두었던 것을 토대로 Test bench가 구성됩니다.그림 7. 4bit adder/subtractor testbench 코드2입력부분입니다. begin부터 시작하여 ... Full adder 코드Full_adder 모듈입니다.
    리포트 | 11페이지 | 2,000원 | 등록일 2020.12.20 | 수정일 2020.12.27
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:25 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대