• 통큰쿠폰이벤트-통합
  • 통합검색(450)
  • 리포트(410)
  • 자기소개서(36)
  • 논문(2)
  • 시험자료(1)
  • 방송통신대(1)

"asic설계" 검색결과 21-40 / 450건

  • [asic] vhdl을 이용한 counter 설계
    4bit BCD up-counter를 나타낸 것이다.하지만 실제 실험은 BCD code가 아닌 5bit up-counter와 5bit down-counter를 VHDL을 이용해 설계
    리포트 | 6페이지 | 1,000원 | 등록일 2004.05.03
  • [칩 설계] ASIC&FPGA 디자인 흐름
    ASIC spec 결정 설계ASIC의 주요 사양 결정 기능 사양, 입출력 신호 사양, 시험 계획 3가지 2. ... 칩 제작 테스팅 설계된 칩을 제작하고 테스트 하는 과정 설계ASIC 칩이 제대로 동작하는지를 검증하는 과정FPGA 구현 과정 예시(1)1. ... 행위 수준 설계 설계할 시스템의 기본적인 알고리즘 검증 C, HDL 등 이용Front – end 설계 (2)3.
    리포트 | 11페이지 | 1,000원 | 등록일 2004.11.12
  • [vhdl] asic설계실험 발표자료
    ..PAGE:1A S I C 설 계 실 험(스톱워치 설계)전자공학..PAGE:21. 전체 구성도..PAGE:32. ... 각 블록 설명Led★ timer에서 50clock마다 보내지는 led signal에 따라 20 clock동안 led가 켜지게 설계..PAGE:102. 각 블록 설명 ... min_down )를 블록에 거치게 함★ 5~10 clock이 한번의 입력이 되게 하고 10 clock 이상이 들어왔을 시에는 10 clock 단위마다 한번의 입력으로 받아들여지게 설계
    리포트 | 10페이지 | 1,000원 | 등록일 2003.12.22
  • [asic] vhdl을 이용한 전가산기 설계
    1. 실험이론전가산기란?전가산기는 3개의 입력비트의 합을 계산하는 조합회로이며, 3개의 입력과 2개의 출력으로 구성된다. A와 B로 표시된 두개의 입력변수는 더해 질 현재 위치의 두 비트이며, C_in으로 표시된 세 번째 입력변수는 바로 이전 위치로부터 올라온 캐리이다..
    리포트 | 5페이지 | 1,000원 | 등록일 2004.05.03
  • [ASIC] 경희대학교 ASIC 설계 및 실험 모든 보고서
    따라서 구조적인 프로그래밍 방법으로 2bit 전가산기를 설계한다면, 데이터 플로우 또는 비헤이비얼 방법으로 회로를 구성하는 것보다 효율적으로 회로를 설계할 수 있다. 2.
    리포트 | 6페이지 | 1,000원 | 등록일 2004.01.21
  • [디코더] ASIC실험-디코더설계
    ASIC 설계실험 결과 REPORT◎ 3×8 디코더 설계 ◎학과전자.정보 학부학년3학년학번:9844464성명박 원 규수업목요일 오후5∼6시50분1)이론디코더(Decorder), 복호기
    리포트 | 5페이지 | 1,000원 | 등록일 2003.04.03
  • [asic] vhdl을 이용한 seven segment 설계
    1. 실험이론7-세그먼트는 디지털 회로에서 숫자를 표시하기 위하여 가장 많이 사용하는 소자이다. 이름에서 알 수 있듯이 7개의 LED를 이용하여 숫자를 표시하게 된다. 각 세그먼트를 a, b, c, d, e, f, g로 나눌 수 있다. 각각의 위치는 다음 그림과 같다...
    리포트 | 5페이지 | 1,000원 | 등록일 2004.05.03
  • [전자공학실험] ASIC 설계 전가산기 MUX
    {위의 두 가지 식을 동시에 구할 수 있도록 게이트를 연결하면 그림 1-3와 같이 설계할 수 있다{[그림 1-3] 전가산기그림 1-3에서 두 개의 반가산기가 사용되고 있음을 알 수
    리포트 | 8페이지 | 1,500원 | 등록일 2002.03.29 | 수정일 2021.12.21
  • SK 하이닉스 Digital 설계 자소서
    Transistor Logic) 설계와 SoC 통합 검증 및 검증 기술 개발 업무를 수행하고 설계된 것을 Chip으로 만들기 위해 ASIC(Application Specific Integrated ... - 20년 상반기 SK 하이닉스 신입 공채 자소서- 회로설계 직무- Analog설계 : 신호처리를 위한 회로 설계, Chip 요구 기능 구현 등의 ... 배치설계 : Block의 배치와 Chip 최적화 위한 회로 배치 및 배선 업무를 수행함- Verification : 공정개발, 양산단계의 시행착오 최소화를 위해, 개발 설계 단계의
    자기소개서 | 3페이지 | 3,000원 | 등록일 2021.02.18
  • 삼성전자 회로설계 자기소개서 (2)
    전자회로, ASIC 설계 과목을 들으며 트랜지스터의 동작과 그것을 활용한 논리 게이트의 제작에 대해 배웠습니다. ... 작지만 스스로 프로세서를 설계해본 경험을 살려 앞으로 더 고도화된 시스템을 설계하고 싶습니다.컴퓨터에 대한 관심과 열정을 바탕으로 SoC 전문가가 되고 싶습니다. ... 웨어러블 디바이스용 집적회로 설계 과목을 수강하며 최근 늘어난 IOT, 모바일 기기에 대응하기 위하여 고성능 저전력 회로 집적 회로를 설계하는 방법들에 대해 배웠습니다.회로의 검증에
    자기소개서 | 4페이지 | 3,000원 | 등록일 2023.02.05
  • 시립대 전전설2 A+ 2주차 예비레포트
    공정과 무관한 설계- 특정 ASIC 제조업체 및 구현기술과 무관한 설계 가능- 동일한 HDL 설계의 다른 라이브러리를 이용한 합성- 신속한 하드웨어 원형화 (prototyping) ... 배경이론 및 개념1) ASIC(Application Specific IC)복잡한 큰 디지털 시스템은 앞서 소개한 TTL 등으로 제작할 수 없고, 고집적 ASIC으로만 가능ASIC: ... 특정한 전자/정보통신 제품에 사용할 목적으로 설계된 비메모리 반도체 칩ASIC의 장점- 제품의 고집적화, 소형 경량화, 성능 향상- 저전력화, 시스템 기술 보호- 경쟁력 강화- 신뢰성
    리포트 | 27페이지 | 2,000원 | 등록일 2024.09.08
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(결과) / 2021년도(대면) / A+
    ASIC의 이해- 특정한 전자/정보통신 제품에 사용할 목적으로 설계된 비메모리 반도체 칩으로, 복잡한 큰 디지털 시스템은 앞서 소개한 TTL 등으로 제작할 수 없고 고집적 ASIC으로만 ... ASIC의 분류 ASIC 분류 체계도공간 활용NRE 비용설계 변경호환성개발 기간PLD중~저저용이여러가지FPGA중저용이여러가지1주 이내Semi Custom고~중중불편보통 한가지1달 이상Full ... Custom고고불편한가지3달 이상(2) Full Custom IC- 좁은 의미로는 full custom IC를 ASIC이라고 부르기도 한다.- 장점: 개발 목적에 가장 알맞은 설계
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.15
  • 시스템 반도체 등 비메모리 반도체 기술력 현황에 대한 보고서
    화웨이의 자회사 하이실리콘이 설계하는 AP인 기린은 2020년 화웨이의 메이트40에 마지막으로 적용된 후 몰락의 길을 걷고 있다.3) 주문형 반도체 ASIC와 CMOS 이미지센서의류에 ... 인공지능 기술이 무르익으면 지금처럼 GPU를 쓰기보다는 최적화된 ASIC 반도체를 쓸 가능성이 높은데, 2025년부터 인공지능 반도체의 ASIC화가 본격화될 것으로 보인다.CIS(CMOS ... ASIC는 개발 단계 비용이 비싼 편으로 애플 M1, 구글 TPU가 대표적인 예다.
    리포트 | 6페이지 | 2,500원 | 등록일 2024.01.14
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(예비) / 2021년도(대면) / A+
    ASIC의 이해- 특정한 전자/정보통신 제품에 사용할 목적으로 설계된 비메모리 반도체 칩으로, 복잡한 큰 디지털 시스템은 앞서 소개한 TTL 등으로 제작할 수 없고 고집적 ASIC으로만 ... ASIC의 분류 ASIC 분류 체계도공간 활용NRE 비용설계 변경호환성개발 기간PLD중~저저용이여러가지FPGA중저용이여러가지1주 이내Semi Custom고~중중불편보통 한가지1달 이상Full ... 이런 설계개발은 일반적인 FPGA에서 만들었고 좀 더 ASIC와 비슷한 고정된 버전으로 변경되었다.- CPLD와 FPGA의 가장 큰 차이점은 구조적인 차이이다.
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.16
  • standard cell methodology / ASIC / custom design / 중요 EDA tool 벤더들과 매출액 조사 과제
    또한 설계가 복잡하기 때문에 설계변경에도 많은 시간과 비용이 필요하므로, 설계변경이 잦은 경우의 기기에는 적용하기 부적합하다.ASIC설계방식에 따라 크게 full-custom과 ... 것처럼 ASIC전부를 제로베이스에서 사용 목적에 가장 적합하게 설계하는 경우를 Full-custom, 일부 설계되어진 게이트나 메모리소자 단위를 조합하여 설계하는 것을 Semi-custom이라 ... 범용 반도체(standard IC)와 상대적인 개념이다.ASIC를 사용하면 제품의 소형 경량화가 가능해지고, 처리 속도 및 전력 절감에서 상당한 이득을 볼 수 있다.
    리포트 | 2페이지 | 1,000원 | 등록일 2020.04.15
  • (A+ report) 컴퓨터의 이해 - 마이크로프로세서에 대하여 설명하라. 마이크로프로세서의 역사, 구조, 최신 동향에 대하여 설명하라.
    )ASIC 프로세서는 용 용도가 아닌 특정 용도에emetech.com/wp-content/uploads/2022/02/Screen-Shot-2022-02-09-at-5.53.12-PM ... 여러 개의 작동 단위로 구성되어 여러 명령을 동시에 실행하여 작업을 수행한다.6.4 특정 용도용 집적 회로(Application-specific integrated circuit, ASIC ... 단순화된 명령 집합을 사용하여 실행 시간을 단축하도록 설계되었다. 특정한 명령으로 작은 일들을 수행할 수 있어 이 프로세서는 더 빠른 속도로 명령을 완성한다.
    방송통신대 | 11페이지 | 6,000원 | 등록일 2022.04.06
  • 서울시립대 전전설2 Lab-02 예비리포트 (2020 최신)
    좁은 공간에 회로가 집적된 ASIC을 이용해야 규모가 큰 시스템을 설계할 수 있다.ASIC 방식에는 대표적으로 Full custom IC(ASIC이라 부르기도 함)와 FPGA가 있다 ... 배경이론 및 사전조사ASIC은 Application Specific IC의 약자로 특정 전자제품에 사용할 목적으로 설계된 비메모리 반도체 칩이다. ... 앞선 Lab-01에서 디지털 설계에는 두 가지 방법, standard logic IC와 ASIC가 있다고 배웠는데 Lab-01 실험에서는 standard logic IC를 이용했고
    리포트 | 10페이지 | 1,500원 | 등록일 2021.09.10
  • 서강대학교 디지털회로설계 과제 Full custom desgin
    ASIC설계에 따라 두 장점 중 하나를 고르거나 둘 모두의 장점을 취할 수 있지만 설계 후 생산이 이뤄진 시점에서는 변할 수 없다. ... CPU도 유연성이 높지만 하드웨어 영역이 아닌 소프트웨어 영역에서만 가능하며, ASIC은 처음 설계와 생산부터 하나의 정형된 형태로 만들어지므로 유연성은 거의 없다고 볼 수 있다. ... 항공이나 국방, 우주 분야에서 FPGA는 소량으로 생산되는 고성능 프로세서로 생산효율이 CPU, ASIC보다 월등히 높다.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 서울시립대 전전설2 Lab-02 결과리포트 (2020 최신)
    좁은 공간에 회로가 집적된 ASIC을 이용해야 규모가 큰 시스템을 설계할 수 있다.ASIC 방식에는 대표적으로 Full custom IC(ASIC이라 부르기도 함)와 FPGA가 있다 ... 배경이론 및 사전조사ASIC은 Application Specific IC의 약자로 특정 전자제품에 사용할 목적으로 설계된 비메모리 반도체 칩이다. ... 앞선 Lab-01에서 디지털 설계에는 두 가지 방법, standard logic IC와 ASIC가 있다고 배웠는데 Lab-01 실험에서는 standard logic IC를 이용했고
    리포트 | 15페이지 | 1,500원 | 등록일 2021.09.10
  • Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증 예비레포트 NAND2,NOR2.X
    이런 설계개발은 일반적인 FPGA에서 만들었고 좀 더 ASIC와 비슷한 고정된 버전으로 변경되었다. CPLD는 비슷한 역할을 할 수 있는 소자이다. ... 대부분의 FPGA는 프로그래밍 가능 논리 요소에 간단한 플립플롭이나 더 완벽한 메모리 블록으로 된 메모리 요소를 포함하고 있다.FPGA는 일반적으로 주문형 반도체(ASIC) 대용품보다 ... Half Adder의 심볼 및 동작을 이해하고, 이를 응용하여 4-bit Adder의 설계 방법을 익힌다.-4-bit Adder를 Verilog HDL을 이용하여 설계하고, FPGA를
    리포트 | 4페이지 | 1,000원 | 등록일 2021.06.20
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:19 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대