• 통큰쿠폰이벤트-통합
  • 통합검색(450)
  • 리포트(410)
  • 자기소개서(36)
  • 논문(2)
  • 시험자료(1)
  • 방송통신대(1)

"asic설계" 검색결과 121-140 / 450건

  • 반도체의 원리, 종류 및 공정 과정
    이렇게 만들어진 실리콘 웨이퍼는 DRAM, ASIC, TR, MOSFET, CMOS, PMOS, ROM, EP-ROM 등 오늘날 전체 산업분야에서 없어서는 안 될 중요한 부품을 제작하는데 ... 반도체 공정 과정은 크게 3단계로 구분된다.1) 웨이퍼 제조, 회로 설계 및 마스크 제작2) 웨이퍼 가공3) 조립 및 검사.1)웨이퍼 제조, 회로 설계 및 마스크 제작여기서 웨이퍼란 ... 디지털 회로 설계HW#1목차트랜지스터란?1) 접합형 FET(JFET)2) 절연형 FET(MOS)반도체 공정이란?
    리포트 | 12페이지 | 1,000원 | 등록일 2017.12.01
  • 업체별 동향
    설계할 수 있도록 지원한다.애플리케이션은 ATCA 및 microTCA 시스템과 같은 메자닌 (mezzanine) 보드, 고성능 프로세서용 PoL 레귤레이션, ASIC 및 최근 도입된 ... XMC4000 제품군은 확장가능하고 호환 가능한 다양한 솔루션과 높은 수준의 소프트웨어 재사용 가능성을 제공하도록 설계되었다. ... LTM4620은 5V ~ 12V 입력을 2.5V 에서 낮게는 0.6V까지 고전력, 저전압 PoL(point-of-load) 시스템 레일로 전환할 수 있도록 설계되었다.
    리포트 | 21페이지 | 3,000원 | 등록일 2018.05.30
  • [디지털공학] "아날로그와 디지털, 샘플링, 부울대수, 드모르간의 법칙, 최소항, 최대항" 레포트
    조합회로 설계과정1. 회로의 기능 2. Truth Table (진리표)3. Boolean (Equation)4. ... 아날로그 신호인 음성을 디지털화 하는 음성 코딩에 사용하기도 한다.ASIC (Application Specific IC)일반적인 집적회로와 달리 특정한 용도에 맞도록 주문에 따라 제작된 ... 보다 작거나 클 경우(a) fs ≥ 2fm : 최대 주파수가 순간적으로 커지더라도 파형이 겹치지 않음(b) fs = 2fm : 나이키스트 표본화 주파수로 이 조건에 만족하는 필터의 설계
    리포트 | 10페이지 | 1,000원 | 등록일 2019.03.25 | 수정일 2019.04.01
  • ASIC 개요
    SOC 설계자 사용자 제조자 설계자 주문자 제조자 사용자 사용자ASIC ASIC 설계 방식 . ... ASIC 개요 2012. 09. 04 Novel TechASIC ASIC ( Application Specific Integrated Circuit) 주문자가 자신의 제품에 필요한 ... 기능을 구현하기 위해 chip 설계 .
    리포트 | 4페이지 | 3,000원 | 등록일 2013.01.17 | 수정일 2017.01.06
  • FPGA와 Verilog를 이용한 co-simulation과 co-emulation.
    이런 설계개발은 일반적인 FPGA에서 만들었고 좀 더 ASIC와 비슷한 고정된 버전으로 변경되었다. ... FPGA는 일반적으로 주문형 반도체(ASIC) 대용품보다 느리고, 복잡한 설계에 적용할 수 없으며, 소비전력이 크다. ... 제조사는 설계 이후에 수정할 수 없도록 할당된 덜 유연한 FPGA 버전으로 싸게 팔 수 있다.
    리포트 | 5페이지 | 4,000원 | 등록일 2009.12.24
  • VerilogHDL을 이용한 야구게임
    이에 따라 짧지만 한 학기동안 ASIC 설계에 대해 수업 들은 내용과 Verilog를 이용하여 학기말 Project를 수행하였다. ... 그동안 일일이 손으로 설계를 하여 브레드 보드에 직접 필요한 부품들을 장착하는 번거로운 작업들을 통해 확인이 가능했던 회로들을 HLD과 DE2 보드를 이용하여 손쉽게 설계하고 확인할 ... 개 발 목 적 한 학기동안 수업을 들으면서 기본적인 디지털 시스템의 설계에 대해 공부하게 되었다.
    리포트 | 7페이지 | 1,500원 | 등록일 2008.01.08
  • [리포트] 자이로센서 조사
    또한, [그림 2]의 1축 센서에서도 [그림 3]의 3축 센서에서도 구조는 좌우 대칭으로 설계되어 있으며, 회전에 의한 코리올리 힘은 반드시 자동으로 작용하게 되어 있다. ... [그림 4]는 위에서 언급한 3축 MEMS 소자의 신호 처리용 ASIC의 블록 다이어그램을 나타낸다. ... 자이로 센서용 ASIC은 크게 나누면 구동 회로 블록, 검지 회로 블록, 디지털화 블록의 3개로 나누어져 있다.
    리포트 | 8페이지 | 1,500원 | 등록일 2014.12.30
  • LG전자
    그 후 시뮬레이션을 이용한 테스트 결과 8%의 전력 감소 효과를 확인할 수 있었습니다.추가로 ASIC을 위한 합성 및 레이아웃을 과정을 수행하였고 칩 테스트를 위한 PCB설계를 진행하였습니다 ... (핵심위주로 근거에 기반하여 간략하게 기술부탁드립니다.))(500자~1,000자)반도체 설계 교육저는 반도체에 대한 관심으로 팀을 이루어 직접 반도체를 설계하는 반도체설계교육과정을 ... 목표로 잡았던 성능을 만족하지 못하고 설계를 마감해야 했습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2016.01.31
  • [verilog HDL] 감산기와 비교기의 설계
    이런 설계개발은 일반적인 FPGA에서 만들었고 좀 더 ASIC와 비슷한 고정된 버전으로 변경되었다. ... (그러한 이유로 "현장 프로그래머블")FPGA는 일반적으로 주문형 반도체(ASIC) 대용품보다 느리고, 복잡한 설계에 적용할 수 없으며, 소비전력이 크다. ... 출력값 두개는 각각 다른 LED에 연결되도록 설계한다.2) 4bit 감산기를 설계한다.
    리포트 | 27페이지 | 3,000원 | 등록일 2014.11.02
  • 아주대학교 논리회로실험 실험6 예비보고서
    ASIC 설계 환경에서는 래치와 플립플롭은 전형적으로 ASIC 제작회사에 의해 미리 정의되어 있는 셀들로 구성되어 있다. ... 표준 IC와 ASIC에 각각의 래치와 플립플롭의 셀을 독자적인 논리 게이트나 귀환 루프를 사용하여 귀환 순서 회로롤 설계되었다. ... 우리가 이상 설계를 배워야 하는 이유는 두 가지가 있다.
    리포트 | 13페이지 | 1,000원 | 등록일 2014.02.28 | 수정일 2014.03.02
  • 01 논리회로설계실험 예비보고서(And,or gate)
    사용 실례 조사- CPLD● 가전 제품 내의 신호 인터페이스 레벨, 프로토콜 변환● 저전력 제품의 로직 게이트 대체- FPGA● 통신 시스템 신호처리● ASIC Prototype● ... 논리회로설계 실험 예비보고서 #1실험 1. 기본게이트 설계1. ... 회로의 입, 출력간의 관계를 기술하며, 회로의 내부, 물리적 구현에 대한 상세한 사항은 기술하지 않는다.설계자는 빠른 설계와 검증이 가능하고 합성도구를 통해 설계 최적화, 물리적 구현기술로
    리포트 | 8페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 1bit full adder
    1) My Cad schematic editor에서 1bit full adder 회로구성2) 검사 및 SPICE 네트리스로 보내기3) MySpice에서 실행결과 확인4) include.inc에서 값을 추출하여 실행결과에 붙이면 다음과 같이 된다.*************..
    리포트 | 10페이지 | 3,000원 | 등록일 2008.09.19
  • 벤처기업 사업계획서의 정의, 벤처기업 사업계획서의 필요성, 벤처기업 사업계획서의 용도, 벤처기업 사업계획서의 사업성분석, 벤처기업 사업계획서의 사례, 벤처기업 사업계획서 작성방법
    회로 설계 기술의 특수성ASIC 회로 설계 기술 중, 특히 아날로그 회로 설계 기술은 반도체 공정 기술과 회로 설계 기술을 모두 갖추어야 성공을 할 수 있는 사업 분야이다. ... 오디오 증폭기 설계 기술의 특수성4. 반도체 메모리 분야의 침체 및 국내 반도체 산업의 취약성 인식5. 통신시장의 급속한 발달로 인한 ASIC의 수요 증대6. ... 통신시장의 급속한 발달로 인한 ASIC의 수요 증대최근들어 각종 정보 통신 기기의 수요가 늘어나고 개발 cycle이 점차 단축되고 있는 상태에서 통신 기기에 탑재되는 통신용 ASIC시장도
    리포트 | 12페이지 | 5,000원 | 등록일 2013.08.06
  • 서강대학교 디지털회로설계 HW2 FPGA GateArray_SoG
    CPLD는 기본구조를 PAL(Programmable Array Logic)에서 가져왔고, FPGA는 ASIC 의 Gate Array에서 가져왔다. ... gate100~1k ASIC gateConfigurationPROM 필요PROM 필요 없음ApplicationSoCSimple logic gateCPLD는 제한적인 구조이며, FPGA의 ... CPLD와 FPGA 의 비교표이다.FPGACPLD기본공정SRAM baseFlash / EEPROMLogic구성 기본단위CLB/SLICEProduct termLogic의 크기10k~1M ASIC
    리포트 | 10페이지 | 1,000원 | 등록일 2013.04.12
  • 토비스 연구개발 회로 설계 필기 합격자소서 입니다. 합격당시 취업상태로 면접은 참여하지 않았습니다. 서류는 넣은 후 한참 뒤에 합격 연락 왔습니다.
    스마트폰에 들어가는 적외선 빛 감지 센서의 설계를 하였습니다. ASIC 설계는 다소 어려웠지만 단계별로 수행하였습니다. ... 저는 상공회의소의 전자회로설계전문가 과정을 이수하였습니다. ... 이 경험은 토비스의 회로설계 직무에 크게 기여할 수 있을 것입니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2017.03.02 | 수정일 2017.04.03
  • 제조철학 및 품질 제품 Rev.1
    QSB( Q uality S ystem B asics ) 4. 측정시스템분석 ( M easurement S ystems A nalysis) 5. ... - 기능게이지 설계 : 직각도 검사용 기능 게이지 설계 ? 8. ... GD T( 기하학적 치수공차 방식 ) 36 /56게이지 설계 - 구멍용 한계게이지 설계 ( 마모여유 : 0.009 / 제작공차 : 0.008)?
    리포트 | 57페이지 | 1,500원 | 등록일 2016.03.15 | 수정일 2020.10.08
  • Verilog HDL
    설계기법의 검색에 의한 최적화 도달선택적 최적화 기법을 이용한 합성 설계특정 설계기술이나 공정과 무관한 설계특정 ASIC 제조업체 및 구현기술과 무관한 설계 가능동일한 HDL 설계의 ... 장점설계 시간의 단축초기 설계과정에서의 설계오류 수정이 용이합성에 의한 회로 생성과 설계 변경이 용이설계의 질 향상우수하고 광범위한 하드웨어 기술 능력, 상위 수준의 설계 가능다양한 ... 다른 라이브러리 이용한 합성신속한 하드웨어 원형화 (prototyping) 가능낮은 설계 비용상위레벨 설계도구의 사용에 따른 설계 생산성 향상설계기간의 단축에 따른 설계비용의 감소설계자산의
    리포트 | 57페이지 | 1,000원 | 등록일 2016.04.06
  • VHDL에 관하여
    Language)을 이용한 설계 방법은 FPGA 등을 제작하는데 있어 ASIC 칩의 설계 시 주로 사용되는 방법이다. ... 이는 ASIC 뿐만 아니라 CPLD/FPGA에 광범위하게 이용할 수 있으며 또한 상대적으로 간단한 소규모 회로의 디자인에도 전혀 무리 없이 사용할 수 있다.회로도를 이용한 설계하드웨어 ... 이러한 논리합성에 의해 생 성된 출력 결과물은 만들고자 하는 ASIC의 네트리스트(netlist)가 된다.
    리포트 | 8페이지 | 1,000원 | 등록일 2013.01.24
  • FPGA(PROM, PAL, PLA, FPGA)
    주문형 반도체(ASIC) 대용품보다 느리고, 복잡한 설계에 적용할 수 없으며, 소비전력이 크다. ... 이런 설계개발은 일반적인 FPGA에서 만들었고 좀 더 ASIC와 비슷한 고정된 버전으로 변경되었다. 복합 프로그래머블 논리 소자 (CPLD)는 비슷한 역할을 할 수 있는 소자이다. ... 제조사는 설계 이후에 수정할 수 없도록 할당된 덜 유연한 FPGA 버전으로 싸게 팔 수 있다.
    리포트 | 2페이지 | 1,000원 | 등록일 2013.03.29 | 수정일 2015.09.30
  • 시스템반도체의 개요및 기술개발방향 비지니스 모델에 관한 레포트입니다.
    지향● DRAM 등 표준 품● ASIC 등 용도별 품목 다양성● 짧은 수명주기● 시스템 및 소프트웨어와의 조화● PC시장 의존● 기계의 전자화로 수요 다양사업 특성● 소 품종 대량 ... ,논리작업등과 같은 정보처리를 목적으로 사용되는 반도체 메모리 반도체 : D램 , S램 , V램 비메모리 반도체 : 중앙처리장치 (CPU) , 멀티미디어 반도체 , 주문형 반도체(ASIC ... 투자집중 추구● 제품의 칩 세트화 구축● 공정의 극한기술 극복● 시스템부문의 경쟁력 제고● 대기업형 사업구조● 중소벤처기업형 사업구조경쟁 구조● 선행기술개발, 시장선점● 우수한 설계인력
    리포트 | 12페이지 | 2,000원 | 등록일 2013.04.11
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:08 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대