• 유니스터디 이벤트
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(91)
  • 리포트(86)
  • 시험자료(4)
  • 논문(1)

"edge detector" 검색결과 21-40 / 91건

  • 디지털 논리회로 VERILOG 과제 (sequence detector)
    010과 1011을 동시에 감지하는 sequence detector를 코딩하였다. 010을 감지하면 z1=1, 1011을 감지하면 z2=1이다. ... 상태를 저장해야하므로 3비트 레지스터pState(현재상태)를 추가로 선언한다. always문을 사용해 clk신호를 받을때 rising edge에서 결과값이 변하도록 하였다. if문을
    리포트 | 7페이지 | 4,000원 | 등록일 2019.06.26
  • 성균관대 매틀랩 기반 HOG 및 SVM을 이용한 얼굴인식 프로젝트(이준호) 코드 및 레포트입니다.
    Edge는 영상의 밝기 변화나 조명 변화 등에 덜 민감하므로 HOG 역시 이러한 특성을 가진다. ... for run_detector.mfunction [bboxes, confidences, image_ids] = ....run_detector(test_scn_path, w, b, ... (test_scn_path, w, b, feature_params);이 부분에서 알 수 있듯이 run detector.m에서 정보를 가져오며, 우리는 run detector를 수정하였다.Code
    리포트 | 15페이지 | 3,000원 | 등록일 2017.05.23
  • DSPPre-2
    Explain how they work as edge detectors.디지털 영상의 다양한 잡음을 제거해주는 수단으로 널리 사용된다. 3x3, 5x5와 같이 작은 이웃 화소 시스템의 ... 하여 edge 성분을 강조하고 또한 detect할 수도 있다. ... →잡음에 민감하다.3)Prewitt Edge Detect Filter→입력 영상의 가장자리를 강조한다.
    리포트 | 5페이지 | 5,000원 | 등록일 2012.08.30
  • 디지털 논리 게이트의 베릴로그 표현(게이트레벨 or 트렌지스터 레뼬)
    게이트 (게이트레벨)Nand 게이트(트랜지스터 레벨)Nor 게이트(트랜지스터 레벨)Xor 게이트(트랜지스터 레벨)Dff 프리미티브(트랜지스터 레벨)Dffe 프리미티브(트랜지스터 레벨)Edge_detector_n
    리포트 | 11페이지 | 5,000원 | 등록일 2012.07.27
  • 기계응용실험 방사선 계측실험 결과보고서
    반사체가 있는 경우와 없는 경우의 계수값의 비를 후방 산란 계수(英 back-scattering factor)라 한다.compton edge(콤프턴산란) : 450keV 에너지 전후에서 ... CMOS Detector와 CR-Film의 차이점을 알아보고 비교하세요.CMOS Detector 사용CR Film 사용CMOS DetectorDR(Digital Radiography ... CMOS Detector & CR film(1) 장비의 전원을 켠다.(2) X-ray를 Warming-up 한다(3) 샘플을 위치시킨 후 촬영한다(4) CR film을 배치시킨 후
    리포트 | 7페이지 | 1,000원 | 등록일 2017.10.21
  • 차량 번호판 인식 (영상처리와 opencv)
    Sobel Edge Detector를 이용해 edge를 찾는다.소벨 오퍼레이터는 1968년 스탠포드 인공지능 연구소에서 어윈 소벨이 고안해낸 가장자리 검출 알고리즘으로써 3x3크기의 ... Detector 알고리즘을 살펴보면 4개 단계로 되어있다.1. ... 단일 edge 점 응답검출기가 각 참 edge 점에 대해서 한 점만 변환을 해야 하고, 참 edge 주위의 지역 최대들의 수가 최소여야 한다.
    리포트 | 13페이지 | 2,000원 | 등록일 2016.06.30 | 수정일 2016.12.17
  • 전자 장비
    Vertical Stabilizer Leading Edge에 1개장착? ... SMOKE DETECTOR : 스모크를 감지하여 화재를 경고해주는 장치이다.? ? ? ... (1) photoelectric smoke detector : 5~10%의 스모크가 축적시 전류가 흘러 경고? ? ?
    시험자료 | 15페이지 | 1,500원 | 등록일 2018.03.03
  • [연세대학교 물리학과 물리학실험(A-1)] 3번 실험 결과레포트 (연세대학교 물리학과 전공필수 실험과목)
    resonant frequency.⑥ Ringing(1) Provide 20Hz square wave and observe an output in response to each edge ... using the Fourier series.⑤ Classier: Frequency Spectrum Display(1) Sweep the square wave input to 16kHz detector
    리포트 | 15페이지 | 5,000원 | 등록일 2019.07.23
  • 마이크로프로세서 응용 및 실험 [LED 10단계 밝기 조절, 7-segment 표시 ]
    .▷ 카운터는 외부 핀을 통해서 들어오는 펄수를 계수( Edge Detector )하여 EventCounter로서 동작되는 것 을 의미한다.◆ 과제에 사용된 레지스터▷ General
    리포트 | 14페이지 | 10,000원 | 등록일 2012.03.31 | 수정일 2013.12.17
  • Single Channel Analyzer의 방사선 계측실험
    또한 Threshold를 조절할 때 그것을 사람의 손으로 하기 때문에 거기에서도 오차가 생겼고, Detector 안에서도 여러 가지 효율이 존재하기 때문에 오차가 생긴 것 같다.3. ... 이론과는 달리 compton edge가 정확하게 측정되지 않았지만 대략 14번째 채널(count가 급격히 감소하기 직전의 채널)정도를 compton edge라고 보았다.이번 실험에서 ... 방사선계측원리를 숙지한다.ㆍSCA와 NaI(Tl) 검출기를 이용하여 γ energy spectrum을 구성해보고 γ energy spectrum 에서 photo peak 와 Compton edge
    리포트 | 5페이지 | 1,000원 | 등록일 2015.09.06
  • 영상처리에 대한 기본적인 개념 및 질문과 답2
    Explain how they work as edge detectors.디지털 영상의 다양한 잡음을 제거해주는 수단으로 널리 사용된다. 3x3, 5x5와 같이 작은 이웃 화소 시스템의 ... 하여 edge 성분을 강조하고 또한 detect할 수도 있다. ... →잡음에 민감하다.3)Prewitt Edge Detect Filter→입력 영상의 가장자리를 강조한다.
    리포트 | 5페이지 | 5,000원 | 등록일 2010.05.28
  • 조도측정기 ( ATmega128)
    Illumination Detector usingCDS sensor(CDS 센서를 이용한 조도측정기)-Contents-1. 설계 주제 및 동작 설명2. ... 0x00#define RISING_EDGE 0xffvolatile unsigned int cnt = 0;volatile unsigned char sw_flag;char a[16]; ... ENTMODE 0x06#define ALLCLR 0x01#define DISPON 0x0C#define DISPOFF 0x08void LED_disp(int);#define FALLING_EDGE
    리포트 | 10페이지 | 2,000원 | 등록일 2015.11.25
  • 서강대학교 디지털논리회로실험 7주차결과
    Falling edge에서 동작하게 된다. ... 위의 asynchronous counter의 경우, Clock으로 들어가는 pin에 bubble이 있으므로 falling edge에서 동작하게 된다.4. ... 또는 1-0으로 Transition할 때 Latch의 경우 1 또는 0의 Level을 감지하여 동작하는 반면, Flip-flop은 Transition이 일어나는 경우의 Rising edge또는
    리포트 | 8페이지 | 2,000원 | 등록일 2014.01.02
  • 고속 Adder 설계/ 베릴로그
    디지틀시스템설계 및 실습 5 2012. 11. 5~< 고속 Adder 설계 >>** 모듈 5 슬라이드를 참조하여 다음과 같이 단계적으로 adder를 설계한다.1. 1비트 full adder를 설계한다. : FA12. 4비트 Ripple Carry Adder를 설계한다...
    리포트 | 5페이지 | 1,000원 | 등록일 2013.12.05
  • [공학]래치 및 플립플롭
    Q(t+1) 0 0 Q(t) 이전상태의 유지 0 1 0 Reset State 1 0 1 Set State 1 1 - x Prohibited ConditionSRCLKQQEdge Detector상승에지 ... /FlopClock SignalRising Edge 및 Falling Edge의 Flip/Flop Symbol(상승 에지 F/F)(하강 에지 F/F)CLKQQCLKQQ순서논리회로의 ... ): Clock이 0(LOW)에서 1(HIGH)로 변화되는 시간 하강 에지(Falling Edge) : Clock이 1(HIGH)에서 0(LOW)로 변화되는 시간 Clock을 갖는
    리포트 | 12페이지 | 1,000원 | 등록일 2007.05.31
  • [디지털 설계 언어] [쿼터스 / Verilog 언어] Mealy Zero detector / Moore Model Fig 5 19 / D flip-flop
    Mealy_Zero_detector코드코드 수정(state의 변화를 확인하기 위해 state 출력)시뮬레이션 결과코드를 해석하면 state는 Clock에 동기적으로 갱신되지만 리셋값이 ... 때만 reset값, set값, D값을 순서대로확인하여 Q값을 갱신한다.- clock의 positive edge일 때만 Q값이 갱신되므로 동기식, Positive-edge triggered ... 바꾸기 위해서는 첫 번째 always문의 sensitivity list에서 negedge reset이라는조건을 삭제해주면 state가 갱신되는 때가 clock의 positive edge밖에
    리포트 | 6페이지 | 1,000원 | 등록일 2014.08.11 | 수정일 2016.06.13
  • 입자가속기-엑스레이 흡수 분광법 (X-ray Absorption Spectroscopy ,XAS)의 기초 이론 및 응용
    ExperimentXAS Experiment Beam line Be window Monochrometer Focusing mirror Ion chamber sample chamber Detector ... 이러한 에너지를 edge 라 명명 . ... (K-edge, L-edge) 끝머리 부근의 흡수 스펙트럼 끝머리 부근에는 많은 굴곡의 X- 선 흡수끝머리구조 (XAFS) 가 존재함 .XAS 의 원리 어떤 물질에 X- 선을 쪼여
    리포트 | 37페이지 | 1,000원 | 등록일 2014.11.13
  • 자판기설계
    여기에는 edge detector를 이용하여 edge 순간에만 입력값을 받아드리게 하는것에 주안점을 두고 과제에 spec에 맞도록 회로를 구현하였다. ... 이 실습에서 edge detector의 역할을 생각해 보게 되는 기회가 되었다. ... 자판기에서 edge detector가 없다면 돈을 입력시키고 커피선택버튼을 계속 누르면 커피가 한잔이 나올 수도 다 많은 수가 나올 수도 있기 때문이다.
    리포트 | 8페이지 | 2,500원 | 등록일 2007.06.07
  • [mahobife]광학실험 Gaussian 광속의 직경 측정 및 intensity 측정 실험 보고서입니다.
    이번 실험에서는 레이저 광속을 초퍼를 통과시켜 광다이오드로 받아들여 거기서 나오는 전기적 신호를 오실로스코프로 관찰함으로서 가우시안 빔의 특성을 관찰한다.본론이론photo detector빛의 ... 위하여 low voltage에서의 10%값과 high voltage에서의 90%값의 시간으로 계산하기 때문에 투과 power가 전체의 10%에서 90%까지 변화하는 동안 knife edge
    리포트 | 8페이지 | 2,000원 | 등록일 2017.10.10
  • 아주대 논회실 실험6 결과보고서
    오슬로스코프로 클럭파형을 걸어주고 edge detector를 통과하여 input 값에 넣어주면 된다. ... 따라서 다섯 번째 실험 경우를 참고하면, J와 K가 1,1이 들어간 상태에서는 클럭 신호에서의 falling edge에서 동작하므로, falling edge가 주기마다 펄스가 올 때 ... 플립플롭은 Dynamic한 구간 즉, 클럭파형의 edge에서만 동작한다는 것을 명심하면 혼동 되지 않을 것이다.만약 이 회로상에서 바로 D 플립플롭을 만들어주기 위해서는, C값에다가
    리포트 | 5페이지 | 1,500원 | 등록일 2014.03.10 | 수정일 2014.03.21
AI 챗봇
2024년 09월 04일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:59 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대