• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(91)
  • 리포트(86)
  • 시험자료(4)
  • 논문(1)

"edge detector" 검색결과 1-20 / 91건

  • Modesim Verilog Rising Edge Detector
    Modelsim 에서 verilog 를 이용하여 Rising Edge를 검출하는 코드입니다.Rising_Edge_Detector.v 코드와tb_Rising_Edge_Detector.v
    리포트 | 3페이지 | 5,000원 | 등록일 2022.06.04
  • Falling edge detector / 하강엣지 검출기 / 베릴로그 코드, 테스트벤치(모델심 시뮬레이션용), 설명 포함 docx파일 / 베릴로그코드/ 디지털시스템설계
    Prob.2 Falling Edge Detector1) Falling_Edge_Detector.v//Verilog code for Falling Edge Detector using ... Moore FSMmodule Falling_Edge_Detector(sequence_in,clock,reset,detector_out);input clock; // clock signalinput ... edge detectorparameterZfZ=2'b00, // "Zero from Zero" StateOfZ=2'b01, // "One from Zero" StateZfO=2'b10
    리포트 | 3페이지 | 2,000원 | 등록일 2020.10.18
  • [영상신호처리] Canny Edge Detector
    //기본 라이브러리 함수를 호출합니다.#include #include #include //전역변수를 사용합니다.int width=0, height=0, XY=0; unsigned char image[256][256]={0}; int row=0; int column..
    리포트 | 4페이지 | 2,000원 | 등록일 2004.05.11 | 수정일 2013.11.29
  • [영상처리] canny edge detector 프로그램(VC)
    tkd/*************************************************************************//* ..
    리포트 | 6페이지 | 2,000원 | 등록일 2004.03.12
  • 중앙대학교 ASIC 미니프로젝트
    [Falling_Edge_Detector.v]module Falling_Edge_Detector(sequence_in,clock,reset,detector_out);input ... ; // output of the sequence detector -- ???? ... clock; //clock signalinput reset; //reset inputinput sequence_in; //binary inputoutput reg detector_out
    리포트 | 13페이지 | 5,000원 | 등록일 2022.01.21 | 수정일 2022.05.03
  • R-CNN 영상 이미지 인식을 이용한 차량간 거리 추정 및 충돌방지
    ’ 이용 R-CNN Object Detector Edge Boxes 와 같은 알고리즘을 사용하여 ‘region proposals’ 생성 ‘Region proposals’ 가 이미지에서 ... 시뮬레이션 결과 2객체 인식 (Object detection) 이미지에서 객체를 찾고 분류하는 프로세스 영상 이미지 인식 방법 MATLAB 딥러닝 기법 중 ‘R-CNN Object Detector
    리포트 | 16페이지 | 2,500원 | 등록일 2023.06.09
  • VHDL_4_counter, sequence detector, 4way traffic light counter, Soda vending machine
    동작을 간단하게 모델링 한 것으로 vhdl 구현 시에 behavioral 방식으로 그대로 작성이 가능하다.Sequence detector는 과거의 입력결과를 기억해서 특정 시퀀스가 ... = 0Y = 0001 = 13) 150ns, clock = falling_edge, Reset = 0Y = 0010 = 24) 200ns, clock = falling_edge, ... Reset = 0Y = 0011 = 35) 250ns, clock = falling_edge, Reset = 0Y = 0100 = 46) 300ns, clock = falling_edge
    리포트 | 34페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • (A+) 부산대학교 기계공학응용실험-방사선계측실험
    CMOS Detector와 CR-film을 비교하시오.CMOS DetectorDR(Digital Radiography) 내 X-Ray Detector는 다시 CCD(Charge-Coupled ... 반사체가 있는 경우와 없는 경우의 계수 값의 비를 후방 산란 계수(英 back-scattering factor)라 한다.compton edge(콤프턴산란) : 450keV 에너지 전후에서 ... FP 중 CMOS 센서를 이용하는 방식을 CMOS Detector라고 한다.CMOS 디텍터는 해상도가 높아 TFT 대비 화질이 우수하고 소면적 촬영에 적합하여 치과용 CT, intra-oral
    리포트 | 5페이지 | 1,500원 | 등록일 2020.11.26 | 수정일 2020.12.07
  • A+ 중앙대 아날로그및디지털회로설계실습 족보 시험자료, 02 03 04 05 06 07 08 09 10
    [실습 06]✅ 위상 제어 루프 (Phase Locked Loop)phase detector-> 입력과 출력 파형의 차이를 출력함.충전 방전하면서 위와 같은 빨간색의 output이 ... Setup and hold 시간✅ Level-sensitive 래치 (NAND)-> 클락 신호로 다음 상태로 출력이 바뀌는 시간을 제어함✅ JK래치✅ Master/slave 플립플롭✅ Edge-triggered
    시험자료 | 16페이지 | 2,000원 | 등록일 2024.03.13 | 수정일 2024.03.20
  • 서강대학교 디지털논리회로실험 - 실험 7. Finite State Machines 결과 보고서
    클럭의 active edge마다 단순히 출력이 1씩 증가하거나 감소 하는 것이 아닌, 2 → 3 → 7 → 5 → 2 → 3 → 7 → 5 → 2 → ... ... 토의실험 과정 1, 과정 2에서는 moore type의 sequence detector를 서로 다른 종류의 플립플롭을 이 용하여 설계하였다. ... 플립플롭을 이용한 설계 과정이 가장 간단하지만 JK 플립플롭을 이용해서도 같은 기능을 하는 회로를 설계할 수 있음을 확인 하였다.과정 3에서는 과정 1, 과정 2의 sequence detector
    리포트 | 10페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 재료공학실험(세라믹) 최종 보고서
    effect가속 전압이 크면 전자가 침투하는 거리가 길어져 초점 심도가 깊고, 분해능이 우수하나, 시편의 손상이나 edge effect 같은 문제점도 발생한다. ... 시편지지대(Sample Holder)에 고정된 시편은 전자빔에 의해 여러 형태의 전자를 방출하고 검출기(Detector)를 통해 image로 송출된다.이때 상의 초점에 영향을 주는 ... 좋은 image를 얻을 수 있다.① 가속전압의 효과큰 가속전압작은 가속전압초점 심도 깊다.초점 심도 얕다.시편의 손상이 크다.시편 손상은 적다.분해능이 우수하다.분해능이 나쁘다.큰 edge
    리포트 | 12페이지 | 2,000원 | 등록일 2022.09.08
  • 디시설, 디지털시스템설계 실습과제 10주차 인하대
    FSM 1101 detector그림 SEQ 그림 \* ARABIC 1 : 모듈 코드그림 SEQ 그림 \* ARABIC 2 : 테스트 벤치 코드그림 SEQ 그림 \* ARABIC 3 ... 우선 모듈부터 보면 입력으로 X를 선언했으며 총 4개의 state가 존재하므로 state(현재) 와 next_state(다음)는 2비트로 선언해 주었다. positive edge에서
    리포트 | 6페이지 | 1,500원 | 등록일 2021.08.31
  • MOS Capacitor 제작 및 분석 실험
    이것은 양으로 대전된 SE Detector에 끌어당겨져 검출된다. ... 또한 그림21-(ii),(iii)에 관찰되는 균일하지 않고 울퉁불퉁한 edge 부분은 metal의 등방성 증착과, mask edge 와 기판 사이의 미세한 간격 사이로의 metal ... 갈색으로 보이는 부분은 SiO2 막이고, 하얗게 보이는 부분이 증착 된 알루미늄이다.그림21-(i)은 직사각형의 mask 모양대로 알루미늄 박막이 deposition이 되지 않고 edge
    리포트 | 22페이지 | 2,500원 | 등록일 2021.11.24
  • 디지털 논리회로 실험 7주차 JK-FlipFlop 예비보고서
    클럭 신호는 ‘0’에서 ‘1’로 변하는 상승 에지(rising edge) 와 ‘1’에서 ‘0’으로 변하는 하강 에지(falling edge) 로 나뉘어 진다. ... CLK에 대해 설명하고 응용 실험 (2) [그림 4]의 원리를 설명하시오.: Pulse transition detector에서 CLK에 1이라는 신호를 주고 있다가 0의 신호를 주면 ... S-R Latch의 내부 구조에 사용되는 NOR 게이대의 연산을 수행할 수 있는 memory 구조(bar {S }- bar{R} Latch)를 만들 수 있습니다.2) Pulse detector
    리포트 | 10페이지 | 1,500원 | 등록일 2021.04.22
  • 인장 실험 보고서
    효율이 높기 때문이다.또한 시편 면으로부터 돌출된 얇은 시편부위는 대개 넓고 평탄한 시편부위보다 훨씬 밝게 나타나는데, 이 현상은 주로 시편의 날카로운 모서리에서 많이 발생하므로 " edge ... topology에 따라서 변화한다. 2차 전자를 발생시키는 효율은 시편 부위가 입사전자빔의 spot에 노출되고 시편의 면이 detector를 향하고 있을 때 가장 높아서 CRT에 ... 반대로 입사 빔이 시편 면과 거의 평행에 가까울 정도로 입사하게 되면 전자빔이 시편내로 깊숙이 침투하지 못하게 되므로 많은 수의 2차 전자들이 시편 바깥으로 나와 detector
    리포트 | 29페이지 | 2,000원 | 등록일 2022.09.04 | 수정일 2022.09.15
  • 3장. 방사선 계측 실험
    CMOS Detector와 CR-Film의 차이점을 알아보고 비교하시오.DR(Digital Radiography) 내 X-Ray Detector는 다시 CCD(Charge-Coupled ... 이 현상은 두께측정계 등에 이용된다.compton edge(콤프턴산란) : 450keV 에너지 전후에서 Count가 생기는 요인이다감마선과 같은 입사광자가 원자에서 느슨하게 결합된 ... FP 중 CMOS 센서를 이용하는 방식을 CMOS Detector라고 한다CMOS 디텍터는 해상도가 높아 TFT 대비 화질이 우수하고 소면적 촬영에 적합하여 치과용 CT, intra-oral
    리포트 | 10페이지 | 1,000원 | 등록일 2019.09.15
  • [무기화학실험]나노입자(Perovskite Quantum dots)의 분광학적 성질
    spectra에 들어간다.③ Emission spectra 조건을 입력한다. ( Excitation wavelength : 430㎚, Emission range : 300㎚~800㎚, Detector ... valence band edge에서 불연속적인 에너지 준위를 나타내고 있으며, 그 크기가 작아짐에 따라 에너지 준위의 불연 속성은 심화되며, 결과적으로 양자점의 에너지 밴드 갭 ( ... 구성 원자 오비탈의 기여 수에 따른 band gap의 증가를 관찰할 수 있는 quantum confinement 현상을 볼 수 있다.반도체 퀀텀닷은 conduction band edge
    리포트 | 9페이지 | 3,200원 | 등록일 2021.03.04
  • Report#2(멀티미디어신호처리) Mat 픽셀 직접 접근 및 이진화 프로젝트
    detector 를 직접 구현하여 OpenCV에서 제공해주는 Sobel()의 결과와 비교.Sobel edge detection: edge 추출의 가장 대표적인 1차 미분 연산자 중 ... 또한 수직 수평 방향 edge보다 대각선 방향 edge에 더 민감하게 반응함.Source codeRunningWindowOpenCV에서 제공하는 Hough 변환 함수를 이용하여 직선 ... 프로젝트를 스스로 구현하여 제출.CV_THRESHOLD_TOZERO_INV 임계 값 초과는 그대로, 임계 값 이하 0Source codeRunningWindow수업시간에 배운 Sobel edge
    리포트 | 6페이지 | 1,000원 | 등록일 2019.02.28 | 수정일 2019.03.04
  • [연세대학교 물리학과 물리학실험(A-1)] 3번 실험 결과레포트 (연세대학교 물리학과 전공필수 실험과목)
    resonant frequency.⑥ Ringing(1) Provide 20Hz square wave and observe an output in response to each edge ... using the Fourier series.⑤ Classier: Frequency Spectrum Display(1) Sweep the square wave input to 16kHz detector
    리포트 | 15페이지 | 5,000원 | 등록일 2019.07.23
  • 마이크로프로세서 실험- 타이머와 카운터
    Detector)하여 Event Counter로서 동작●ATMega128의 타이머 카운터◆타이머 0~3 모두 4개의 타이머/카운터를 보유- 타이머 0,2 : 8비트 타이머로 서로 ... 인터럽트를 발생◆카운터 : MCU의 외부에서 입력되는 클럭을 세는 장치- 비동기모드- 카운터는 외부 핀(TOSC1, TOSC2, T1, T2, T3)을 통해서 들어오는 펄스를 계수(Edge
    리포트 | 16페이지 | 2,000원 | 등록일 2020.10.05
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 20일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:08 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기