• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(4,167)
  • 리포트(3,707)
  • 자기소개서(225)
  • 시험자료(143)
  • 방송통신대(85)
  • 논문(5)
  • 이력서(1)
  • ppt테마(1)

바로가기

방송통신대 - 2024 방송통신대 리포트 및 과제물 업데이트, 중간고사/기말고사자료

"디지털논리회로" 검색결과 381-400 / 4,167건

  • 수동소자, 디지털과 아날로그, 논리회로
    아날로그와 디지털에 대해서 조사하여 보자.① 디지털 - 손가락이란 뜻의 라틴어 디지트 (digit) 에서 온 말로, 이는 모양으로 표시되는 아날로그에 비해 분명하게 1, 2, 3을 ... 저항, 커패시터, 인덕터의 기호, 단위, 회로상의 기호 및 회로 상에서의 기능을 조사하여 보자.기호단위기능저항R(Resister의 약자)회로상의 기호 :Ω(옴)회로상에 과전류가 흘러서 ... 디지털 휴대폰은 아날로그 신호인 음성을 수십만 개의 디지털 신호로 바꾸어 전달하는 것으로 CDMA라는 방식이 사용 되는데 디지털이란 소리도 001011, 그림도 110101, 문자도
    리포트 | 5페이지 | 1,000원 | 등록일 2009.07.05
  • 디지털 논리 회로 maxplus 곱셈기 나누셈기 만들기(코드, 보고서)
    8bit unsigned multiplier를 설계할 때 일단은 input 값과 multiplier 와 multiplicand를 각각 입력 받았습니다. multiplier 값은 경우는 한 칸씩 shift 되면서 맨 마지막 자리 수 가 1인지 0인지 구분해야 하는데 i..
    리포트 | 13페이지 | 3,000원 | 등록일 2014.01.12
  • 수동 소자의 종류, 아날로그와 디지털, 논리 회로
    논리 회로에 대해서 조사하여보자.1. ... 데이터를 한 자리씩 끊어서 다루므로 애매모호한 점이 없고 정밀도를 높일 수 있다.디지트(digit)는 사람의 손가락이나 동물의 발가락이라는 의미에서 유래한 말이다. ... 저항, 커패시터, 인덕터의 기호, 단위, 회로상의 기호 및 회로 상에서의 기능을 조사하여 보자.3. 아날로그와 디지털에 대해서 조사하여 보자.4.
    리포트 | 4페이지 | 1,000원 | 등록일 2010.03.10
  • 디지털로직실험 13장 디멀티플렉서를 이용한 조합논리 회로
    실험13디멀티플렉서를 이용한 조합 논리● 실험 목표□ 디멀티플렉서를 이용한 다중 출력 조합 논리 회로의 설계.□ 오실로스코프를 아용하여 카운터-디코더 회로의 타이밍 다이어그램 작성. ... 따라서 변화가 적은 만큼 디지털 변환이나 주변 장치용의 코드 등 디지털 사용에서는 적은 변화로 인해 오류도 적게 일어 나는 장점이 있다. ... 실험 보고서의 그림 13-6에 미완성의 회로도가 있다. 74LS139A는 상태 디코더이고반전 입력 NOR 게이트로 그려진 AND 게이트는 출력 논리를 만든다.
    리포트 | 7페이지 | 4,500원 | 등록일 2013.06.22 | 수정일 2021.02.02
  • [디지털논리회로-임석구-개정3판] 연습문제3장 풀이/족보,중간고사
    [디지털논리회로/임석구/개정3판] 3판 연습문제 3장풀이1. 10진수-->BCD 코드로 변환① 104 : 0001 0000 0100② 275 : 0010 0111 0101③ 369
    리포트 | 3페이지 | 1,000원 | 등록일 2016.04.16 | 수정일 2016.04.18
  • 디지털 논리회로(김형근, 손진곤 공저) 4장 연습문제[방통대]
    다음의 진리표를 만족하는 간소화된 논리회로도를 그리시오.입력X00001111Y00110011Z01010101출력F00100100XZY000111100111간소화 :Y {bar{Z}} ... 부울함수 F(W, X, Y, Z) ={bar{W BULLET X BULLET (Y+Z)}}을 NOR와 NAND 게이트를 이용하여 논리회로도를 그리시오(NOT 게이트가 필요하면 사용
    리포트 | 5페이지 | 1,000원 | 등록일 2013.10.24
  • 디지털 논리회로이용한 시계설계
    서 론이번 설계는 한 학기 동안 수업을 통해 배웠던 기본 / 순차논리회로의 동작을 응용하여 카운터가 기본이 되는 디지털 시계를 직접 설계하는데 중점을 두었다. ... 세그먼트표현회로에는 매우 빠른 클럭이 인가되어야 세그먼트창에 우리가 원하는 시계카운터 값을 깜박임 없이 표현할수가 있다.그러므로 디지털 시계를 설계할때 필요한 분주기 종류로는 3가지가 ... 앞서 설명한 것과 같이 앞단의 100분주기 뒤에 MOD-10카운터를 연결하여 만들수 있다.(2) 카운터 설계카운터는 디지털 시계 설계시 모든 부분에 쓰이는 회로이다.세그먼트에 나타나는
    리포트 | 16페이지 | 2,500원 | 등록일 2008.12.02
  • [디지털 논리 회로 프로젝트] AMD-2901 예제 파형(wave form) 분석
    디지털 논리 회로 프로젝트AMD-29011.
    리포트 | 13페이지 | 2,000원 | 등록일 2013.06.20
  • 디지털 논리회로 Verilog HDL 을 이용하여 RLC 주사위 게임 (RLC DICE GAME) 설계
    Digital Logic CircuitTerm ProjectRLC Dice Game제출일 : 2013.6.25.교수님 : 윤홍일 교수님들어가며이번 프로젝트에서는 RLC Dice Game을
    리포트 | 25페이지 | 3,500원 | 등록일 2014.03.15
  • [디지털]디지털논리회로 실험
    실험 목적논리 회로에서 가장 많이 사용되는 유니버셜 게이트인 NAND, NOR Gate의 기본 논리 동작 및 특성을 실험을 통하여 이해한다.2. ... [그림 1-17]은 [그림 1-18]과 등가임을 논리적으로 확인하라. ... [그림 1-19]은 [그림 1-20]과 등가임을 논리적으로 확인하라.
    리포트 | 18페이지 | 1,500원 | 등록일 2006.03.22
  • [디지털공학]디지털논리회로
    논리회로설계 Design Project #2BCD-to-7 segment decoder위 그림에서 보는바와 같이 7-Segment Decdoer는 2진 Code의 수를 입력으로 받아들여 ... 구현해보면,③The logic diagram by Max+pus-Ⅱ으로써, 4입력 9출력 디코더로 구현할 수 있다.이 논리게이트로 시물레이션 파형을 구해보면,위 그림과 같이 나타남을 ... + Y'Z'gCDAB00011110000011011101110000101100THEREFOREg = W + XY' + X'Y + YZ'으로 나타남을 볼 수 있다.이것을 바탕으로 논리게이트를
    리포트 | 4페이지 | 1,000원 | 등록일 2006.01.16
  • 디지털논리회로-도어락(door lock) 프로젝트 (+ MULTISIM 회로도),홍익대,2학년1학기,도어락,프로젝트,DoorRock,project,2-1
    2. 설계 방법(1) 카운터와 7-세그먼트 연결-UP/DOWN 스위치는 SPST를 사용하여 각 상태가 유지되도록 하고, INPUT 스위치는 NOPB를 이용하여 한번 식 누를 때마다 펄스가 형성되도록 구성한다. -각 스위치에 SOURCE를 연결하고 UP/DOWN 스위치를..
    리포트 | 14페이지 | 2,000원 | 등록일 2017.06.28 | 수정일 2019.01.25
  • 중앙대 아날로그 및 디지털 회로 설계실습 3학년 2학기(성적인증) (결과) 설계실습8-(논리함수와 게이트)
    이번 실험은 전반적으로 누구나 쉽게 알고 있는 디지털 회로의 기본이 되는 논리함수와 게이트의 실험이라 이 전의 실험들에 비해 비교적 쉽게 진행되었다. ... AND, OR, NAND, NOR 등 논리함수와 그에 상응하는 전압 값을 측정하여 확인하는 실험이라서 큰 어려움이 없는 실험이었지만, 실험 초기 기계 오작동이 생겨 실험이 잘못 될
    리포트 | 7페이지 | 1,000원 | 등록일 2017.12.17
  • 중앙대 아날로그 및 디지털 회로 설계실습 3학년 2학기(성적인증) (예비) 설계실습8-(논리함수와 게이트)
    설계하라.디코더(decoder): n비트의 2진 코드(code) 값을 입력으로 받아들여 최대 2n개의 서로 다른 정보로 바꿔 주는 조합 논리 회로. ... (3) Vcc를 5V(논리값 1)에서 0V(논리값 0)로 단계적으로 변화시켜서 NAND 게이트가 동작하는 최소 정격 전압을 구하는 설계 방법을 생각하고, 그 단계적 방법을 구체적으로 ... 순간이 더 이상 NAND가 low로 인식하지 않는 기준전압이 된다.)(4) 게이트를 사용하여 만든 2X4 디코더의 기능에 대해 설명하고 그 정의에 따라 진리표를 만들고, 2X4 회로도를
    리포트 | 6페이지 | 1,000원 | 등록일 2017.12.17
  • 순서논리회로디지털회로 응용
    순서 논리 회로디지털 회로 응용{1. 멀티바이브레이터{2. 플립플롭{3. 순서 논리 회로 설계{4. 계수 회로와 레지스터{5. 디지털 회로 응용{[목차]{{1. ... {[순서 논리 회로디지털 회로 응용 목차]{{2. ... {[순서 논리 회로디지털 회로 응용 목차]{{3.
    리포트 | 21페이지 | 1,000원 | 등록일 2007.03.10
  • [컴퓨터시스템/IT/전자] 디지털 논리회로
    디지털 논리회로 개요디지털 시스템에 관한 논리회로에는 작동 원리에 따라 조합회로와 순서회로로 나눌 수 있으며, 컴퓨터 내부에는 많은 종류의 조합 논리회로와 순서 논리회로가 복잡하게 ... 디지털 논리회로는 기억장치의 유무로 그 분류를 달리한다.기억장치가 없는 조합논리회로와 플립플롭이라는 기억장치가 있는 순서논리회로로 나뉜다. ... 논리회로순서 논리 회로는 조합 논리 회로와 플립플롭을 가지고 구성한 회로이며, 출력 값은 입력 값과 회로의 내부 상태에 의해 정해지는 논리 회로이다.
    리포트 | 8페이지 | 1,500원 | 등록일 2009.05.19
  • 수동소자, 아날로그와 디지털 비교, 논리회로
    실제로 많은 기계의 내부에서는 디지털 방식으로 일을 처리하고 그 결과물을 사람들에게 보일 때는 사람들이 잘 알아볼 수 있도록 아날로그화해서 보여 주고 있다.4.논리 회로에 대해서 조사하여 ... 아날로그와 디지털에 대해서 조사하여 보자.아날로그와 디지털의 차이는 크게 '선'과 '숫자'로 구별된다. ... 저항, 커패시터, 인덕터의 기호, 단위, 회로상의 기호 및 회로 상에서의 기능을 조사하여 보자.수동소자(passive element, passive component)는 공급된 전력을
    리포트 | 5페이지 | 1,000원 | 등록일 2009.05.23
  • 논리회로 디지털시계 보고서
    잡고 새로운 부울식과 카르로 맵을 작성하였다.실험 결과에서 우리는 순차적으로 0부터 9까지 숫자가 진행하고 9이후에 0으로 돌아오도록 디스플레이하는 7-세그먼트를 만들 수 있었다.논리회로 ... 문제는 논리 진리표를 짤 때 잘못된 입력 값이었다. ... 실험의 목적은 7-세그먼트에 GAL 22V10 한 개는 0부터 9까지의 수를 나타내는 논리를 구현하여 기록하는 것이었고 GAL 22V10 다른 한 개는 순차적으로 숫자를 셀 수 있게
    리포트 | 6페이지 | 2,000원 | 등록일 2008.06.08
  • [디지탈 논리회로]디지탈 논리회로
    디지털 논리회로부호의 코드화BCD 코드(Binary Coded Decimal) 3초과 코드(Excess-3 Code) 그레이 코드(Gray Code)BCD 코드(Binary Coded ... A/D 변환기(analog/digital converter) D/A 변환기(digital/analog converter) 코드그레이 코드(Gray Code)10진수2진수 코드그레이 ... = x`· y` 드모르간 정리 16a. x + x`· y = x + y 16b. x · (x`+ y) = x · y변수의 수에 따른 카르노 도카르노 도에 의한 함수의 간략화조합 논리회로가산기
    리포트 | 52페이지 | 1,000원 | 등록일 2004.03.29
  • 디지털 논리회로 시계 타이머 스탑 와치 알람 만들기 maxplus이용 (코드, 보고서)
    module digitalclock(swbutton1, swbutton2,set,reset,clk,segment1,segment2,segment3,segment4,segment5,segment6,led1,led2,led3,led16);input swbutton1;inp..
    리포트 | 21페이지 | 3,000원 | 등록일 2014.01.12
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:46 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대