• 통큰쿠폰이벤트-통합
  • 통합검색(796)
  • 리포트(715)
  • 시험자료(43)
  • 자기소개서(18)
  • 방송통신대(15)
  • 논문(5)

"시계소스" 검색결과 401-420 / 796건

  • 모터제어
    함수의 입출력이나 소스의 틀 이해를 바탕으로 delay함수의 수치를 바꿔보기도했다.회로도를 보고 선을 맞춰 연결하고 소스를 맞춰 모터를 구동해 보니 재미있었다.아무리 겉으로는 전원조작으로 ... 실은 1-2상 여자는 1상,2상과는 달리 스텝각이 절반 각도이다.위 표의 순서와 같이 스윗치를 전환 하면 모터는 시계방향으로 회전 한다. ... 그러면 반시계 방향으로 7.5° 씩 회전할 것이다.이 사실에서 스테핑 모터는 여자하는 순서에 따라서 일정각도의 회전을 하고,여자상이 변화 했을 때에 회전하는 것을 안다.
    리포트 | 15페이지 | 2,000원 | 등록일 2012.03.26
  • lg전자최종지원서
    그 방법은 교수님께서 LCD 출력참고파일로 주신 소스코드를 약간 수정하는 것이었는데, 착시 현상을 이용해야 하는 방법을 그 누구도 알아내지 못했기 때문이었습니다. ... 학교 앞 고시원까지 이사를 했고, 한 달간 피나는 노력을 한 결과, 저희 팀은 Spartan Board에 디지털 시계의 시간, 날짜, 초시계가 동작하도록 하는 알고리즘 코드를 완성할 ... 비슷한 동작을 하고, 거기에 추가 기능을 더한 디지털시계를 구현하는 것이었습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2011.04.04
  • 전자회로실험 실험8 555Timer 회로 예비보고서
    또 다른 방법으로 그림 21-7(b)처럼 출력단자와 접지 사이에 부하를 연결하면 출력이 높을 때 위쪽 트랜지스터가 절류 소스처럼 동작하여 부하전류가 흐르게 된다.3. ... ) 1-3번 단자 사이의 전압을 오실로스코프로 측정한다.(3) 표 21-2에 공식에 의한 펄스폭을 계산하여 기록한다.(4) 스위치을 순간적으로 B로 이동시킨 후, 출력펄스의 폭을 시계로 ... Type IC의 핀넘버 구성방법을 구체적으로 설명하시오.= 위 그림과 같이 핀넘버는 8핀, 14핀, 8핀 금속핀으로써 세가지가 있는데, 보통 다른 소자들과 같이 핀넘버는 왼쪽 위부터 반시계
    리포트 | 8페이지 | 1,000원 | 등록일 2013.04.25
  • 아주대학교 통신실험 unit 10 결과보고서
    오실로스코프를 관찰하는 동안 Figure 5-37(b)와 같은 모양이 될 때까지 SLOPE knob를 반시계 방향으로 돌려라. ... 어쩔 수 없이 소스의 그림을 참고한 결과 Fitering을 하게 되면 원래의 Message signal과 같이 부드러운 곡선의 형태로 나타나게 된다는 것을 확인 할 수 있었다.15.
    리포트 | 17페이지 | 2,000원 | 등록일 2013.11.28
  • 두바이 음식과 음식축제에관한 ppt
    ·Rabneh (라브네) with Garlic : 마늘이 들어간 크림치즈 소스 ·Muttabbal (무타발) : 가지와 참깨를 갈아서 레몬주스를 첨가한 소스 ·Hommos (하무스 ... 문화권이라서 주류를 금기시하기 때문에 대부분의 업소에서 주류를 구입하기가 쉽지 않다.Cold Mezza(차가운 전채)Relishes : 모듬 야채Cold Mezza(차가운 전채)시계방향순으로 ... ) : 콩과 참깨를 갈아서 레몬주스를 첨가한 소스Cold Mezza(차가운 전채)·Tagen : 생선살에 참깨와 잣을 갈아 넣고 양파로 버무려 요리한 음식Cold Mezza(차가운
    리포트 | 22페이지 | 2,000원 | 등록일 2009.12.18
  • AVR ( External Interrupt 이용한 Step motor 제어)
    0x00, PORTB);// B 포트의 초기 값 (low)outp (0x03, MCUCR);// 인터럽트 발생조건: Rising Edgeoutp (0x40, GIMSK);// 인터럽트 소스 ... )u08 phase2[4]={0x0a,0x06,0x05,0x09};// 2상 여자방식을 선언(반시계방향)u16 d=0;void delay(u16 time)// 딜레이 함수 지정int ... 변수 선언typedef unsigned int u16;// u16을 int형 변수 선언u08 phase1[4]={0x05,0x06,0x0a,0x09};// 2상 여자방식을 선언(시계방향
    리포트 | 9페이지 | 1,000원 | 등록일 2009.08.10
  • [마이크로프로세서실험] 인터럽트(Interrupt) & 7 segment 예비보고서
    ISRISR이란, Interrupt Routine의 약자로 인터럽트 소스에 따라 정해진 기능을 수행하기 위한 처리 루틴이다. ... 두 개의 가로 획과 두 개의 세로 획이 배치되어 있고, 위쪽 사각형의 아래 획과 아래쪽 사각형의 위쪽 획이 합쳐진 모양이다.7세그먼트 표시 장치의 각 획은 맨 위쪽 가로 획부터 시계 ... 인터럽트 처리 방식을 찾는 방법에는 두 가지가 있는데 첫 번째는 특정 번지로 바로 점프하여 실행하는 방법이고 두 번째 방법은 점프할 주소 값이 있는 곳을 설정하고, 각 인터럽트 소스
    리포트 | 10페이지 | 1,500원 | 등록일 2012.05.30
  • VHDL코드를 이용한 Seven Segment구현 및 simulation
    몇몇 숫자(0, 6, 7, 9)는 둘 이상의 다른 방법으로 표시가 가능하다.7세그먼트 표시 장치의 각 획은 맨 위쪽 가로 획부터 시계 방향으로, 그리고 마지막 가운데 가로 획까지 각각 ... 회로도3-4 소스코드와 설명library ieee; -- 라이브러리 지정use ieee.std_logic_1164.all;entity seg_code isport(A0, A1, A2
    리포트 | 5페이지 | 1,500원 | 등록일 2010.12.27
  • 안드로이드의 발전과정 및 전망
    카메라를 지원했으며, 와이파이, 블루투스, 웹브라우저, 알림기능, 음성 다이얼, 유투브, 알람시계. ... 새로운 커널을 사용하기 시작했을 뿐만 아니라, 기반 소스코드 개선과 JIT(just in time) 컴파일러의 도입으로 큰 성능 향상이 있었고 V8 자바스크립트 엔진과 웹브라우저의
    리포트 | 6페이지 | 1,000원 | 등록일 2013.11.01
  • [마이크로프로세서] Timer Interrupt 를 사용하여 시계 디스플레이 (설계)
    이때 reset s/w가 가장 우선순위가 높다.- 시간의 설정은 timer를 사용해야 한다.- FND 제어 연결 구성- 블록별 소스파일 (주석 등 포함, 일반적인 부분은 넣지 않음) ... 결론설계A에서 했었던 일반 delay 시계를 신뢰도 있는 정확한 시계로 구현하였다. 시계로 보이는 부분은 1/10초까지이나 정확도를 올리기 위하여 1/100초까지 카운터한다.5. ... 정확하게 만드시오- SW는 2개 사용, 각각은 external interrupt를 사용-주요 내용-Timer를 사용하여 정확한 1/100초를 만들어 적용- Interrupt를 사용하여 시계
    리포트 | 6페이지 | 1,500원 | 등록일 2010.12.14
  • Si-Metrix 를 이용한 Fast Comparator IC circuit(빠른 비교기) 설계
    (VCC는 1V로 가정한다.)OP-AMP Positive Feedback ApplicationR11을 시계방향으로 돌리면 화살표가 위로 올라가 1V에 가까워지고, 반시계방향으로 돌리면 ... 대부분의 경우 comparators의 숫자는 하나의 입력에 동일한 전압 소스를 가질 수 있다, SET 버튼을 마이너스 입력에서 눌렀을 때 공급 전압의 3 / 4는 일반적인 수준에서
    리포트 | 21페이지 | 2,500원 | 등록일 2012.11.15
  • Spartan 3 E Board Digital Watch(디지털 시계)
    가장 기본적인 시계의 동작은 이처럼 동작한다. 시계에 대한 자세한 설명은 아래 소스의 주석으로 남겨놓았다. ... Time_Set Source Code 시계의 기본기능을 담당하는 부분이다. 시간을 발생시키는 소스를 직접 작성하여 LCD에 데이터를 전송하여 출력을 할 수 있도록 작성하였다. ... 여기서 Basic 기능은 AM/PM, 시간, 분, 초 의 표시로서 기본의 디지털 시계가 지녀야할 기능을 말하고 있다.
    리포트 | 66페이지 | 5,900원 | 등록일 2008.08.29
  • ATMEGA8535를 사용한 오토 알람 블라인드(회로도, 소스 포함)
    U4모터 드라이브BA6208DIP220U5LCDLCD2열 16칸21X1크리스탈16.000MHz3.2) 제작시 문제점- 타이머 카운터, ADC; 처음에는 이해가 어려웠지만 차근차근 소스를 ... 따라 속도가 달라지는 FAN- 알람과 빛감지로 열리고 닫히는 블라인드- 리모콘 수신부4.2) 기본 성능1) 빛을 감지하여 모터 ON, OFF2) 타이머 인터럽트를 이용하여 LCD에 시계 ... lcd_port=0x12 ;PORTD// .equ __lcd_port=0x03 ;PORTE#endasm#include unsigned char temp_temp;//////////// 시계
    리포트 | 15페이지 | 3,500원 | 등록일 2013.02.28
  • 콜롬비아 여행
    일반적인 스테이크 구이인 까르네 아사다 Carne asada 외에 장조림처럼 부드러우며 짭짤한 소스를 뿌린 소브레 바리가 데 살사 Sobre barriga de salsa 도 유명 ... 중심의 시계탑 Puerta del Reloj 야경 해가 지면 악단이나 생과일 주스등을 파는 장사꾼이 시끌벅적 거리를 메운다 카르타헤나의 Plaza de Aduana 를 거쳐 들어선 ... 숙소 calle de la media Luna 10-47 Getsemani 버스터미널에서 이곳까지 택시로 12000 페소 공항에서 부터는 8 천페소 상당 ) 도미토리 15000 페소시계
    리포트 | 44페이지 | 3,000원 | 등록일 2013.06.05
  • 글로벌 경영환경에서의 시장세분화 전략
    역시 오직 시계에만 주력하여 차별적 제품과 이미지를 제공하여 시계 전문업체로 성공**차별화 전략► 남양유업의 돌풍으로 인해 영원한 1위(매년 순이익 15%이상의 성장)였던 동서식품의 ... 선발주자인 오뚜기가 맛의 강약 등에 초점을 뒀다면 CJ제일제당은 닭고기,쇠고기 등 다양한 고기와 소스 등으로 제품을 다양화했다. ► 굳이 '커리'라는 제품명을 고집하는 것도 인도 정통 ... 표적 마케팅의 필요성*▪ 기업은 어떤 세분시장을 어떻게 공략해야 할지 결정해야 함 ☞ 즉, 표적시장(target market 하나만을 생산하여 대표적 전자밥솥 브랜드로 성공 로만손 시계
    리포트 | 29페이지 | 4,000원 | 등록일 2012.05.30
  • [8051] [졸업작품]디지털습도계와시간표시 , HS1100 , LCD [AT89S52]
    또한 디지털 시계도 표시하도록 하여 시간과 습도값을 동시에 볼 수 있습니다.회로도,프로그램,사진등 많은 자료를 제공합니다.[목차]1. 작품명2. 작품 개요3. 목적4. ... 작동 설명5.1 회로도5.2 회로도 설명 5.3 프로그램 설명 5.4 소스프로그램 6. 부품 리스트7. 졸업작품 과정에서 느낀점 8. 참고서적9.
    리포트 | 4페이지 | 10,000원 | 등록일 2009.09.11
  • 인터넷 마케팅을 위한 온라인분석 마이닝을 이용한 e고객 행동 모형
    이해 필요 OLAM은 이비즈니스의 지식관리와 의사결정지원에서 많이 적용 - 사용자의 쿼리와 관련있는 웹이나 하이퍼링크 - OLAP 기술과 결합되어 웹로그 데이터를 예측, 분류, 시계열 ... 인기있는 웹페이지를 발견하기 위해 사용되어짐*3.3 OLAM 과정지식의 정확성을 보장하기 위한 규칙 적용가능성의 두 가지 척도 - 지지도(support): 발견된 지식이 얼마나 소스 ... 단계 1: 데이터 로딩과 정제 - 단계 2: 사용자 식별과 세션 식별 - 단계 3: 데이터 웨어 하우징*[그림 5] 공통 로그 형식[그림 7] 정제된 웹 로그 데이터3.2 데이터 소스
    리포트 | 21페이지 | 2,000원 | 등록일 2012.01.10
  • 일본인(일본사람)의 기질, 일본인(일본사람)의 의식구조, 일본인(일본사람)의 제국의식, 일본인(일본사람)의 여가생활, 일본인(일본사람)의 패션, 일본인(일본사람) 결혼식,쇼핑관광
    해외 하이 패션의 트랜드에 민감하며 패션의 소스가 미국보다 유럽에 가깝다3. 같은 옷도 소화해 내는 방식이 다르다4. 여러 가지 유행이 동시에 유행하며 유행의 수명이 길다Ⅶ. ... 시계가 없어도 태양으로 알 수 있고, 워크맨이나 테이프레코더가 없어도 쌍수는 있다. 마지막으로 남는 것은 의식주의 필수품이다. ... 일본의 디지탈시계와 자동차는 아직은 잘 팔린다. 그러나 세계의 경기가 더 나빠진다면, 까놓고 말해서 일본의 수출상품의 90퍼센트는 없어도 도니다.
    리포트 | 16페이지 | 6,500원 | 등록일 2013.04.12
  • Project 개발 계획서 결과 보고서 - ATmega128칩을 사용한 디지털 온도계
    온도계 이외의 기능으로 습도계와 알람시계를 추가해보려고도 하였으나 이것 저것 작업하면서 한가지의 기능을 구현하는 것도 생각보다 힘들다는 것을 알게되어 단순하지만 온도계라는 기능 한가지만을 ... 개발일정구 분1주2주3주4주5주6주작품선정 및 정보수집개발 계획서 작성알고리즘 구현소스코드 작성회로도 작성재료 구입소스코드 실험제품제작완성 및 발표결 과 보 고 서-디지털 온도계-목 ... 온도계 이외의 기능으로 습도계와 알람시계를 추가해보려고도 하였으나 이것 저것 작업하면서 한가서 16MIPS 이상의 성능을 가진다- 2 cycle 곱셈기를 내장하고 있다○ 비휘발성 프로그램과
    리포트 | 18페이지 | 3,000원 | 등록일 2010.01.28
  • 마카오 그랑프리를 통해서 본 마카오지역 호텔 동향.
    한국 포털 사이트 검색과 미국 야후 검색을 통해 본 미디어의 기사 정보제공은 거의 모두 마카오 관광 진흥청에서 소스를 제공받아 기사를 써내려가는 것을 확일 할 수 있었다. ... 세계적인 명차 브랜드 마세라티, 페라리, 부가티, 람보르기니, 재규어, 랜드로버, 피가니 등의 차량과 명품시계를 전시하여 관광객과 호텔 방문객들을 눈과 귀를 즐겁게 해주었다.1층 그라운드플로어에도
    리포트 | 4페이지 | 1,000원 | 등록일 2013.04.17
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:37 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대