• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(979)
  • 리포트(776)
  • 자기소개서(192)
  • 시험자료(3)
  • 논문(2)
  • 서식(2)
  • 방송통신대(2)
  • 이력서(2)

바로가기

FPGA 독후감 - FPGA 관련 독후감 1건 제공

"FPGA" 검색결과 401-420 / 979건

  • A+ 디지털 시스템 실험 Random Access Memory (RAM) <9주차 결과보고서>
    시뮬레이션 결과 (입력 : CLK,WR,RD,D_in,A | 출력 : Q)00000*************1100110111011111111111[그림 4] 16x4 RAM FPGA ... 딥 스위치로 입력 비트를, 버튼 스위치로 읽기와 쓰기 비트를 인가시켜 FPGA 보드로 확인한 결과, [그림 4]와 [그림 5]와 같이 두 코드 모두 RAM으로써 제대로 동작하는 것을 ... 결과[그림 5] 16x4 RAM FPGA 결과토의Verilog로 RAM을 구현하기 위해 주소, 입력값, 읽기, 쓰기를 input으로 하고 출력값을 output으로 하는 모듈을 설계했다
    리포트 | 4페이지 | 1,500원 | 등록일 2017.07.05
  • 스톱워치(stop watch) 설계 프로젝트
    설계 목표: FPGA를 사용하여 스톱워치를 설계한다.- 입력 : start/stop, rap/reset 버튼 2개로 구성- 출력 : 7segment 5개를 사용하여 분, 초, 초/10 ... 설계 제안Clockstart/ stopreset/ lapLatchMUX7segment7segmentVccsecond/ 10secondminute1) FPGA 내부에서 클럭을 발생시킨
    리포트 | 7페이지 | 5,000원 | 등록일 2013.12.26 | 수정일 2020.12.14
  • 서울시립대학교-전자전기컴퓨터설계실험2-제08주-Lab07-Pre
    MachineToolsLaptop - ISE Digital Design Tool (Version - 14.7)HBE-ComboⅡ-SE Board (included - Xilinx Spartan3 FPGA
    리포트 | 7페이지 | 1,500원 | 등록일 2017.09.04
  • 아주대학교 논리회로 실험 설계 예비보고서
    설계 목표- FPGA를 사용하여 자유 주제로서 스톱워치를 설계한다. ... 동작 설명 및 알고리즘FPGA를 이용하여 stopwatch를 설계한다. Clock은 50Mhz를 사용한다.
    리포트 | 10페이지 | 2,000원 | 등록일 2016.06.14
  • 디지털 도어락 설계 코드, 보고서 ,ppt
    1) FPGA 실습키트를 활용하여 디지털 도어락을 구현한다. 2) Switch 입력과 FND 및 LED 출력을 위한 VHDL 코드를 작성한다. 3) 디지털도어락 동작을 위한 내부 상태머신을 ... 설계 및 구현하고, 상태 전환이 설계 사양대로 동작함을 확인한다. 4) 디지털 도어락을 VHDL 코드를 이용하여 구현하고, 이를 Modelsim으로 검증하며, FPGA 실습 키트에
    리포트 | 5페이지 | 4,000원 | 등록일 2016.05.31 | 수정일 2021.12.08
  • 간단 회로명 설명
    LED : 8Bit 의 LED 가 Target FPGA 에 연결되어 있다12. ... PS/2 Port : Target FPGA 와 PS2_D, PS2_C 의 Signal Name 으로 연결되어 있다. ... Power Switch : Main Board 와 Target FPGA 의 전원을 On/Off 하는 스위치이다.18.
    리포트 | 2페이지 | 2,000원 | 등록일 2011.11.20
  • VHDL을 TFT LCD와 함께 이용한 스도쿠게임 만들기
    FPGA Quartus8.1 버전을 이용한 DE2 보드 VHDL 파일입니다.
    리포트 | 4,000원 | 등록일 2016.04.13 | 수정일 2016.04.15
  • 악보가 표출되고 점수가기록되는 전자피아노(베릴로그, 자일릭스 코드,경진대회수상작품)
    // 내부 신호(wire)와 레지스터(reg) 선언parameter[15:0]reg_do= 16'd11659;parameter[15:0]reg_re= 16'd10388;parameter[15:0]reg_mi= 16'd18202;parameter[15:0]reg_pa= ..
    리포트 | 2,000원 | 등록일 2017.12.25
  • Dotmatrix를 활용한 지렁이 게임 프로젝트
    = addr_fpga + KEY_COL_OFFSET/sizeof(unsigned short);keypad_row_addr = addr_fpga + KEY_ROW_OFFSET/sizeof ... = addr_fpga + DOT_ROW_OFFSET / sizeof(unsigned short);dot_col_addr = addr_fpga + DOT_COL_OFFSET / sizeof ... int function_set(int rows, int nfonts);int display_control(int display_enabllueunsigned short *addr_fpga
    리포트 | 21페이지 | 1,000원 | 등록일 2014.12.04
  • 아주대 논리회로실험 설계 8by8 multiplier 결과보고서
    board에 Uploading하였다.- FPGA만으로는 결과를 확인 할 수 없어서 FPGA 보드를 bread board에 확장하여 결과를 확인 하였다.- 속도측정은 우리가 직접 한 ... , 실제 실험시간에는 노트북이 준비 안된 조가 많아 조교님이 직접 코드를 작성하고 FPGA에 업로딩 하는 방법을 보여주셨다. ... 설계 목적- 8비트 2진수 입력 2개를 받아들여 곱셈을 하고 16비트 2진수의 결과값을 만들어 내는 곱셈기 구현 (8bit 입력의 Multiplier HDL(VHDL) 구현 및 FPGA
    리포트 | 18페이지 | 1,000원 | 등록일 2013.11.29
  • 논리설계 및 실험 전자시계 결과보고서
    부산대학교2014년 12월 05일(화)요 약Term Project 전자시계 제작에 관한 보고서로 Project의 최종 결과 및 Project 작동 법 사용한 회로 등을 설명해둔 문서이다.목표FPGA ... FLOWRIAN을 이용한 논리 회로(디지털 시스템) 설계FLIP-FLOP과 REGISTER등을 이용하여 전자시계에 필요한 기능(Counter,분주기 등) 구현 및 최종 목표인 전자시계 구현매뉴얼FPGA보드
    리포트 | 9페이지 | 2,000원 | 등록일 2016.11.28
  • Lab#08 Application Design1
    Materials-FPGA(Filed Programmable Gate Array)-XC3S200-Xilinx ISE. ... (Isim Simulator)마) iMPACT를 이용해 FPGA에 프로그래밍을 한다.바) 프로그래밍을 완료 한 후 장비에서의 동작을 확인한다.2) Dynamic 7-Segment Design가
    리포트 | 30페이지 | 1,500원 | 등록일 2016.09.11
  • 기본 게이트 설계 예비보고서
    예비 이론(1) CPLD, FPGA란? ... FPGA는 어떤 영역이나 구조에 따라 제공된 거대 병렬 알고리즘에 특히 유용하다. ... [그림 2] FPGA2) FPGAField Programmable Gate Array(현장 프로그래머블 게이트 배열)의 약자이다.
    리포트 | 7페이지 | 1,000원 | 등록일 2014.07.25
  • logical circuit 을 이용한 신호등 구현
    논리회로설계 및 실험 1 분반 15 조 제안서 200724490 오승민 201024536 정해인개요 주제 내용 FPGA 보드에서 사용하는 모듈 신호등 작동순서 구현내용 세부내 용 개발환경 ... 구현 △ 보행자 횡단가능시간이 아주 조금 남았을 때 , 경고음 구현 △ 좌회전 및 우회전 방향을 Dot-Matrix 에 표시 ♤ 관리자 모드를 만들어 신호등의 신호시간을 변경가능FPGA ... 관리자 모드 입력 회로구현내용 3- 방향 표시 FPGA 에 있는 신호등 모델로는 좌회전 우회전의 방향을 정확히 나타 낼 수 없기 때문에 각 각의 진행 방향을 Dot-Matrix 에
    리포트 | 18페이지 | 1,000원 | 등록일 2012.05.05
  • 논리회로실험 2014 Calculator
    ,CLK,load_100k,cnt_100k) --Clock(100kHz) GeneratorBeginif FPGA_RSTB = '0' thencnt_100k '0');clk_100k ... lcd_display;architecture Behavioral of lcd_display iscomponent LCD_test -- LCD 표시용 componentport ( FPGA_RSTB ... CLK, LCD_A, LCD_EN, LCD_D,data_out_reg, addr_reg, data_reg, w_enable_reg);data : data_gen port map(FPGA_RSTB
    리포트 | 22페이지 | 1,000원 | 등록일 2014.11.05
  • [VHDL,AVR]전자 오르간 피아노 quartus2 설계 프로젝트
    프로젝트QUARTUS 2와 FPGA kit를 이용하여 자유연주와 저장이 가능한 전자 오르간을 구현.1. 자유 연주 기능2.
    리포트 | 13페이지 | 3,000원 | 등록일 2014.12.15 | 수정일 2021.07.08
  • 전전컴설계실험2-7주차예비
    검색된 FPGA 모듈에 4:1 Mux Logic이 설계된 bit 파일을 프로그래밍한다.12. ... 실제 장비의 FPGA Module에 프로그래밍하여 동작을 확인하기 위해 IMPACT를 실행한다.10. ... 실제 장비의 FPGA Module에 프로그래밍하여 동작을 확인하기 위해 IMPACT를 실행한다.10.
    리포트 | 15페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 삼성 ARM7 Core 내장된 S3C400 마이컴에, 자이링스 느파르탄 2를 이용한 MJPEG DVR 4CH짜리 소스
    국내보다는 미국과 동구권의 블랙박스용(특히 군사용)으로 팔려 나간 제품의 소스로 ARM공부하시는 분들이나 FPGA 공부하시는 분들에게는 고난이도 기술로서 충분히 도움이 될 것입니다.
    리포트 | 50,000원 | 등록일 2015.02.12
  • 2017년 상반기 LG디스플레이 최종 합격 자소서입니다.
    FPGA의 메모리가 부족하여 목표인 5mm의 검출도 쉽지 않아서, 수차례 실험을 통해 완성하게 되었습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2017.09.24 | 수정일 2017.12.05
  • “CPLD” 에 관한 조사
    CPLD 의 정의FPGA 비해 CPLD를 정의하는 여러 특징들이 있다. ... 빠르게 적합한 리셋의 실패는 비정상적인 시스템 동작, 데이터 상실 등을 초래할 수 있다.② FPGA와 ASIC/ASSP 규정이런 리셋 로직의 애플리케이션의 확장으로 FPGA와ASIC ... 전형적으로 ASIC/ASSP안의 레지스터 설정과 플래시 메모리에서 FPGA로 로딩은 반드시 이루어져야 한다.③ 전원 트랜스레이션All 모든 새로운 CPLD 제품군은 멀티 I/O표준을
    리포트 | 7페이지 | 1,000원 | 등록일 2011.03.20
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 08일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:38 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기