• 통큰쿠폰이벤트-통합
  • 통합검색(755)
  • 리포트(711)
  • 시험자료(20)
  • 방송통신대(14)
  • 논문(8)
  • ppt테마(2)

"SEGMENT세그먼트" 검색결과 401-420 / 755건

  • 기업사례연구조사 - SK텔레콤의 CRM에 관해
    시작 1999년 3월에 구축 완료 및 오픈 문제점 : 1) 일부 데이터 오류, 전 영역에 걸친 재검증, 데이터 정확성 불확신 2) 성능 부분 3) 데이터 제공 주기의 문제가 발생세그먼트 ... 감각적인 Tone MannerNew Membership TTL 카드 TTL CollageSTEP ISTEP IISTEP IIISegment Marketing의 출발전체고객 대상의 Segment ... Off-Line 서비스의 복합 상품화TTLLeaders ClubTingUTOCARA젊은 층에 급속도 로 보급되는 PCS 상품에 대응하기 위한 18~24 연령 제공되는 서비스전체 고객 대상의 Segment
    리포트 | 25페이지 | 1,500원 | 등록일 2013.03.10
  • 논리회로 설계실험 농구전광판
    entitiy BASKEBALLPort ( FPGA_RSTB, FPGA_CLK : in STD_LOGIC; --클럭 공급과 트 p22, p24, p26, p27, p28, p29--세그먼트 ... 다른 점이 있다면 이번에는 분, 초, 0.1 초 단위로 나누어 각각 2진수 표현으로 데이터를 받아와서 정수로 변환 후 10의 자리와 1의 자리로 나눠서 그것을 다시 2진수로 바꿔서 세그먼트에 ... 농구전광판 안에는 데이터를 관리하는 BASKETBALL_DATA모듈, LCD의 출력을 관리하는 LCD모듈, SEGMENT로의 출력을 관리하는 SEGMENT_DRIVER모듈이 들어가야
    리포트 | 24페이지 | 2,000원 | 등록일 2009.07.10
  • TCP SACK(Selective Ackowledgement)
    클라이언트는 세그먼트 3,4를 전송받고 ACK = S4 누적승인번호를 전송한다.※ 슬라이딩 윈도우의 누적승인번호 송신자는 누적승인번호 전 까지의 모든 세그먼트를 받았다고 간주Segment ... 3 수신Timer Stop연결SYN세그먼트 SACK-Permitted=1SACK = S4Segment 4의 SACK=11. ... 1전송Segment 2전송Segment 3전송Segment 4전송S3전송실패s1s2ACK = S2s1s2??
    리포트 | 5페이지 | 1,000원 | 등록일 2007.03.28
  • AVR의 I/O Contol에 대한 실험레포트 입니다.
    실험1맨 왼쪽 7-Segment만 0부터 9까지 순서대로 카운트 실시C언어의 While문과 For문을 이용하여 4개의 7-Segment 중 왼쪽꺼 하나만 0~9까지 카운터 되는 프로그램을 ... 학습한다.2.Name(조원)3.Abstract1) 맨 왼쪽만 0부터 9까지 순서대로 카운트하는 프로그램을 작성하여 AVR보드를 이용하여 확인한다.2) 왼쪽부터 9씩 카운터 된 후 다음 세그먼트로 ... /delay.h"/* 0~9까지 세그먼트 값 표시 */unsigned char FND_SEG[10] = {0x3F, 0x06, 0x5B, 0x4F, 0x66, 0x6D, 0x7C,
    리포트 | 11페이지 | 3,000원 | 등록일 2010.06.09
  • 타이머 / 디지털 시계 [마컴 예비레포트]
    LCD7세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를 표시하기 위해 만들어진 소자이다. ... minute = 00LDICL,0; CL = second = 00;------------------------------------------------;Display Time on 7-Segment ... Compare Match A/B/C, Input Capture기타RTC 기능, 타이머/카운터 모두 프리스케일러를 사용캡쳐 기능⑴ 타이머 / 카운터에 대한 간단한 필요한 지식⑵ 7 세그먼트
    리포트 | 12페이지 | 1,000원 | 등록일 2012.05.22
  • 논리회로설계, 7segment verilog 설계
    논리회로설계7segment verilog 설계입니다.발표자료(ppt)와 verilog .v 파일이 폴더 안에 있습니다. verilog를 이용하여 7segment 회로설계를 할 때 유용하게 참고할 수 있습니다.
    리포트 | 9페이지 | 1,500원 | 등록일 2012.01.12
  • 계경목장 stp swot 4p 경영분석 마케팅전략
    -9)1(-3)3(-9)(-)3경쟁정도1(1)1(1)1(1)1성장률1(5)1(5)3(15)5규모seg3seg2seg1가중치[ 가중치 척도: (나쁨)1~5(좋음) ]2(10)3(6)세그먼트의 ... TargetingSegment Size의 급격한 감소[ 서강대생 100명을 대상으로 조사한 학기, 방학중 시장규모 변화 ]방학중 Seg1 에서 이탈하는 고객계절에 따라 공략 대상 세그먼트가 ... size의 변화*STP 분석(1) : SegmentationSegmentation 1 : 술자리파 가격과 접근성을 중요시 한다 회전율이 낮다 학교 모임Segmentation 2 :
    리포트 | 21페이지 | 2,000원 | 등록일 2010.03.11
  • 실험4.디코더인코더 및 다중화기역다중화기 7세그먼트 디코더
    Decoder74247BCD-to-7-Segment Decoder74248BCD-to-7-Segment Decoder74445BCD-to-Decimal Decoder○ 7세그먼트표시기라고 ... Decoder7447BCD-to-7-Segment Decoder7448BCD-to-7-Segment Decoder7449BCD-to-7-Segment Decoder741373-Line-to ... 여기서 막대 모양의 LED 하나 하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다.
    리포트 | 6페이지 | 1,500원 | 등록일 2008.05.25
  • 7세그먼트 표시기 및 디코더
    7-Segment Decoder7447BCD-to-7-Segment Decoder7448BCD-to-7-Segment Decoder7449BCD-to-7-Segment Decoder74246BCD-to ... -7-Segment Decoder74247BCD-to-7-Segment Decoder74248BCD-to-7-Segment Decoder그림 5-6. ... 표시기1애노드 공통형TTL 74471BCD to 7 Segment Decoder with OC[1] 그림 1은 TTL 7447(BCD-to-7세그먼트 디코더) 칩을 이용한 숫자 표시
    리포트 | 10페이지 | 1,500원 | 등록일 2007.09.03
  • SK Telecom CRM 분석자료입니다.
    1위명실상부 이동통신 분야 리더 입지 구축후발업체들의 마케팅 전략강화이동통신 시장 성숙 단계2) 고객 SEGMENT : ttl세그먼트 마케팅 적용 : 99년 7월 TTL출시TTL분석-신세대 ... 20대 특성파악(DBM사용)-TTL 상품 : 지역할인 요금제, 감각적 디자인과 기능의 단말기, 멤버십 카드, TTL zone, 부가서비스(폰 메일, 벨 소리 무료)2) 고객 SEGMENT ... 선정배경고객에 대한 과학적인 분석을 위함1997년 DMB프로젝트 추진DMB는 향후 CRM의 근간을 이룸매스마케팅 > 세그먼트 마케팅 > 일대일 마케팅선정배경(마케팅측면)매스 마케팅(
    리포트 | 21페이지 | 2,500원 | 등록일 2008.01.12
  • VHDL - 디지털 스톱워치(Digital Stop Watch) 프로젝트
    프로젝트 설명▼ 프로젝트 소개- 키트의 Segment에 시간을 Display하고, Button switch로 control- 시간, 분, 1/100초 단위까지 있어서 세밀하게 시간을 ... 통합적인 이해력을 기를 수 있을 것이고, 이를 통해 학기 동안 배웠던 VHDL 문법을 복습하고, 나아가 더 복잡한 구조를 해석하고 설계할 수 있는 능력을 기를 수 있으며 키트의 세그먼트
    리포트 | 19페이지 | 2,000원 | 등록일 2011.03.01 | 수정일 2021.07.04
  • orcad layout 기본과정
    Unroute – Board 를 선택하면 모든 선의 배선을 제거함 . * Unroute : 선택한 네트만 제거 . * Unroute Segment: 선택한 네트의 세그먼트만 제거 . ... . * 테두리 모양을 바꾸고 싶을 때 -Segment: 선 전체를 움직이게 함 . -Arc: 선을 둥글게 만들 수 있음 .6. ... 배선 제거하기 ① 배선된 네트를 선택한다 . ② 마우스 우 클릭 – Unroute , Unroute Segment, Unroute Net 를 선택한다 . ③ 또는 Auto 메뉴 –
    리포트 | 21페이지 | 1,000원 | 등록일 2010.10.30
  • 프로토콜 OSI 7계층, 인터넷 프로토콜 5계층
    .- 상위 계층에서 생성된 데이터를 전송할 수 있도록 TCP/UDP 헤더가 삽입되어 캡슐화 실시- 프로토콜 :TCP, UDP- 데이터 단위 : 세그먼트(Segment)- 데이터 전송
    리포트 | 1페이지 | 1,500원 | 등록일 2011.06.10
  • 노키아 기업조사 분석
    STP 분석1) Segmentation세분화, 분할의 뜻. 기업이 상품을 제조, 판매하고자 할 때 시장 전체에 그 수요가 꼭 있다고는 단정할 수가 없다. ... Nokia 는 각 세그먼트별 특징을 이해하는 것에서 그치는 것이 아니라, target 고객의 특성에 따라 단말 군을 제공 할 뿐 만 아니라, 매장 방문자의 관심사나 의도를 분석해서
    리포트 | 44페이지 | 2,000원 | 등록일 2013.12.30
  • 논리회로 디지털시계 보고서
    PLD IC GAL22V10D를 이용한 Segment 출력? ... Counter를 사용한 Segment 출력? ... 이렇게 해서 0부터 9까지 7-세그먼트에 출력 할 수 있는 GAL22V10을 만들 수 있었다.
    리포트 | 6페이지 | 2,000원 | 등록일 2008.06.08
  • SK 텔레콤 CRM 사례분석을 통한 견해
    성과라고 할 수 있게 되었다.③ 전체 고객을 대상으로 하는 Segment 실시 : Leaders Club!! ... 관련된 기업의 내외부 자료를 분석, 통합하여 고객 특성에 기초한 마케팅 활동을 계획하고, 지원하며, 평가하는 과정.과거의 대중 마케팅(Mass Marketing), 세분화 마케팅(Segmentation ... 세그먼트 마케팅 사례 : TTL에서 Leaders Club까지다. 고객 스코링 사례 : Demarketing에서 VIP 고객관리까지라.
    리포트 | 17페이지 | 5,000원 | 등록일 2009.06.14
  • [디지털실험][설계과목]디지털 시계 만들기
    에 나타낸 디지털 시계의 전체 블록도를 보면 시간 표시를 위한 7세그먼트 표시기를 비롯하여 BCD-to-7세그먼트 디코더(TTL 7447), 12시간 표시기 디코더, modulo-N ... 또한 12진 카운터의 값이 10 또는 11일 경우 하나의 TTL 7447 디코더와 7세그먼트 표시기로 값을 표시하면 하나의 자리로 표시되기 때문에 알아보기 힘들다. ... 즉 TTL 7447은 0에서 9사이의 값을 입력받을 경우 7세그먼트 표시기에 우리가 실제 사용하는 숫자 모양으로 표시할 수 있도록 해주는데 착안하여 (b)의 진리표에 나타낸 것과
    리포트 | 18페이지 | 1,000원 | 등록일 2010.03.25 | 수정일 2014.11.18
  • 이케아 IKEA 해외진출 마케팅전략분석및 기업분석
    International Marketing 9 “Gray Living Together” 고객 세그먼트 별 특성 #2 “Living with Children” IKEA 의 핵심 고객군 ... 있으나 , 최근 둘 모두를 적절히 만족시키는 제품을 원하는 소비자들이 증가하고 있음 이에 스웨덴가구로 대표되는 IKEA 는 가격과 품질요소의 균형잡힌 포지셔닝을 통해 , 우상단 Segment ... 저가격 가구를 선호 가구 조립에 대한 거리낌이 없음 1 인용 가구 및 소품을 선호 SWEDEN USA CHINA KOREAIKEA SWEDEN 분석 : STP Analysis#1_Segmentation
    리포트 | 51페이지 | 5,800원 | 등록일 2012.03.08
  • 의료정보의 표준화
    HL7 프로토콜의 구조세그먼트(Segment) 정해진 순서가 있는 필드의 논리적 집합 필수 세그먼트와 선택적 세그먼트로 구성 구분자에 의해 나누어진다Ⅲ. ... 세그먼트들로 구성 메시지 헤드 세그먼트(MSH)로 시작하여 메시지 타입과 트리거 이벤트로 구별 메시지 구분자 표 4-13Ⅲ.
    리포트 | 17페이지 | 2,000원 | 등록일 2009.11.27
  • Traffic Light Controller
    이 process에서는 7세그먼트가 0~9까지의 표er와 같은 0~15까지의 integer 값으로 선언 해 준다. ... .▶ 기능3 : 횡단보도의 남은 시간 표시횡단보도 파란 불의 남은 시간을 Count한다.파란 불 고정 상태와 깜빡이는 상태 모두 포함하여 15~0까지 Count한다.7 Segment를 ... 받아서 top_traffic의 system_clk의 한번의 상승 에지를 만들기 때문에 COUNT 값은 엄청 빠른 속도로 0~7까지 카운터 되는 것을 반복 하고 있는 것이다.: 7세그먼트
    리포트 | 16페이지 | 5,000원 | 등록일 2012.03.11
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:35 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대