• 통큰쿠폰이벤트-통합
  • 통합검색(755)
  • 리포트(711)
  • 시험자료(20)
  • 방송통신대(14)
  • 논문(8)
  • ppt테마(2)

"SEGMENT세그먼트" 검색결과 201-220 / 755건

  • led와 7-세그먼트(결과) 인코더/디코더 코드 변화기, 멀티플렉서와 디멀티프렉서 (예비)
    제 출 일 : 2014. 4. 8● 실험 6 LED와 7-세그먼트(LED & 7-Segment)- 실험의 주요 주제1. 7-세그먼트 LED의 특성을 실험을 통해 확인한다.2. 2진화 ... 전원을 연결하면 n형 반도체 측에서 전자와 정공(홀)을 만나 소멸하고, 그러면 빛에너지가 발생하는데 이 빛이 밖으로 나온다.2) 7-Segment 표시기7-세그먼트 표시기는 10진수 ... Display 모듈 -- 실험 결과 검토 & 기타7-Segment Display 그림에서 아래 쪽 회로만 모듈을 제작해 보았다.
    리포트 | 12페이지 | 1,000원 | 등록일 2014.04.20
  • 전자회로 실험 및 설계1 Lab6(7-Segment와 스위치 연동 프로그램 작성)
    Report7-Segment와 스위치 연동 프로그램 작성Code (코드 기술)실험1) 7-Segment에 “I LOVE YOU”가 흘러가는 프로그램 작성. ... (단, 7-Segment의 Data선은 Port 2에 연결, 선택 선은 Port 0의 0~5번에 연결)#include #define display_time 1000int string_i ... / 현재의 세그먼트 상태가 유지되도록 딜레이P1 = 0xff;// 잔상 제거를 위해 세그먼트 작동 종료P0 = 0x04; // 세그먼트의 우측 3번째 출력 설정P1 = string[
    리포트 | 8페이지 | 1,500원 | 등록일 2013.11.25
  • 7 segment 예비
    동작 원리7-Segment란 Bar형태로 된 LED를 7개 연결해 놓은 것이기 때문에 7-Segment의 동작원리는 LED의 동작원리이다. ... 사람이 획을 움직여 숫자를 표시하는 장비에서도 7세그먼트 표시 장치와 유사한 모양으로 획이 배치되어 있기도 하다.LED로 구현된 7세그먼트 표시 장치는 각 획 별로 하나의 핀이 배당되어 ... 개요7세그먼트 표시 장치(Seven-segment display)는 표시 장치의 일종으로, 7개의 획으로 숫자나 문자를 나타낼 수 있다.
    리포트 | 3페이지 | 2,000원 | 등록일 2014.05.04
  • 나이키 에어조던 마케팅
    에어조던 STP분석(1) Segmentation(2) Targeting(3) Positioning7. ... 성공적으로 브랜드를 확장시킬 수 있었고, 농구 분야에서도 데이비드 로빈슨, 찰스 바클리의 Force 시리즈, 스카티 피펜의 Flight 시리즈를 후속 출시하면서 농구화 시장의 고객 세그먼트 ... 에어조던 STP분석(1) Segmentation- 고급 브랜드에 의한 자부심(고액 연봉의 선수가 신는 신발)- 모방 심리를 통해 얻는 만족(스포츠 선수의 화려한 기술)- 기능에 의한
    리포트 | 13페이지 | 5,500원 | 등록일 2018.09.21
  • 유럽의 STP 전략 사례
    Segmentation(시장 세분화). Targeting(표적 시장 선정). ... Segmentation(시장 세분화). Targeting(표적 시장 선정). ... 이는 BMW의 제품인 MINI, 롤스로이스를 포함해 모든 제품에 동일한 가격 책정 전략이 이뤄지고 있다.이는 BMW가 중요시하는 세그먼트가 고급차를 구매할 구매력이 있는 소비자이기
    리포트 | 6페이지 | 2,000원 | 등록일 2019.01.02
  • 창업아이템, 기업비전, 미션, 핵심가치, SWOT분석, 마케팅전략, 비즈니스 모델구상, 재무계획
    고객세그먼트 투자자 , 건물 , 영화시설장비 , 무인 발급기 , 임차인의 노동력 핵심자원 편안하고 쾌적한 공간에서 영화 관람 , 고객의 만족 , 불만족을 통해 다음 상영될 영화 선정 ... 무질서한 부분은 최소의 감시로 균형잡힌 모습을 보여주고 , 경쟁업체의 높은인지도를 대응하는 또보 영화관의 강점을 최대한 브랜딩하고 알린다 .외부환경 분석 및 내부환경 분석 – STP Segmentation
    리포트 | 16페이지 | 3,000원 | 등록일 2019.07.14
  • 디지털실험 설계1 예비 7447소자의 등가회로 설계
    SN7447(BCD to 7-Segment 디코더)BCD-7세그먼트 디코더(BCD to 7-segmemt diode)는 BCD에서 십진숫자를 받아들이고 십진 숫자를 나타내는 선분을 ... 디지털 실험 예비보고서설계 1. 7447소자의 등가회로 설계실험 목적1.디코더와 PLA, ROM 에 대해 이해하고 목적에 맞는 회로를 구성한다.2.SN7447(BCD to 7-Segment ... 또한 BCD-7세그먼트 디코더는 BCD숫자에 대해 4개의 입력과 선분을 선택하는데 사용되는 7개의 출력을 가지고 있으며, 디코더의 7개 출력(a~g)은 아래의 표와 같고 이는 7-세그먼트
    리포트 | 7페이지 | 1,000원 | 등록일 2014.09.30
  • 메카트로닉스 실험 예비 보고서 실험5
    걸어주어야 하고애노드 커먼(Anode common)형은 com 단자에 (+) 전압을 걸어주어야 한다.(2) 7-segment 의 종류와 하드웨어 구성에 대해서 조사하시오.D, 일명 7 세그먼트는 ... 아래 내부 회로를 살펴 보자.이것이 7-Segment의 내부이다. ... 공식적으로 0 부터 F까지 16진수가 표현 할 수 있는 범위를 출력 할 수 있다.7-Segment는 사실 8개의 LED를 제어 하는 것과 똑같다.
    리포트 | 3페이지 | 1,000원 | 등록일 2014.11.18
  • 아주대학교 논리회로실험 설계 에비보고서
    .- 각각의 세그먼트는 만, 천, 백, 십, 일의 자리를 표시한다.- 기준 clk은 100Hz로 Divide하여 사용한다.- 프로그램 시작 시 00000에서 key0 신호를 받아 99999까지 ... [Segment Clock Counting Part] : Clock signal을 받아 7-segment 출력에 알맞은 형태로 변형함Clock diving part를 지나며 10Hz이 ... 구현에 사용하는 7-segment FND의 Datasheet는 아래와 같다.3번과 8번 단자는 Common Anode로써, 이 단자에 LOW가 들어갈 경우 Segment에 불이 들어온다
    리포트 | 6페이지 | 1,500원 | 등록일 2016.06.16
  • 디지털실험 설계1 결과 7447소자의 등가회로 설계
    디지털실험 결과보고서설계1. 7447소자의 등가회로 설계실험 결과아래의 PLA회로를 구성하고 그 기능이 7447소자(7세그먼트 디코더)의 등가인지 7세그먼트로 확인위의 회로대로 회로를 ... 결과를 나오게 하는 것이 이번 실험의 목표였다.고찰이번 실험은 디코더와 PLA, ROM 에 대해 이해하고 목적에 맞는 회로를 구성하는 방법을 익혀서 SN7447(BCD to 7-Segment ... 각각 OR Array에서 나온 출력은 저항을 지나 7세그먼트에 입력된다.위 사진은 0을 입력했을 때 결과이다. a와 d의 LED에 불이 들어오지 않았다.
    리포트 | 3페이지 | 1,000원 | 등록일 2014.09.30
  • 휴고보스 HUGO BOSS
    핵심 성장 계획 * Shoes Leather Accessories 신발 및 가죽 액세서리는 럭셔리 세그먼트의 매출 20 % 를 차지 상당한 이익 잠재력2. 기업소개 4 . ... 갤럭 시 - 경쟁자의 목적과 의지Capter5.STP 1.Segmentation 2.Targeting 3.Positioning 4. 휴고보스의 다양한 라인1. ... Segmentation 욕구와 선호가 다른 고객을 규명하고 , 수요를 파악 * 고품질의 옷과 최신 유행이 될 것을 좋아하며 소득이 높은 20~50 대 남성을 타겟으로 설정 5.
    리포트 | 47페이지 | 2,500원 | 등록일 2017.11.18
  • 전자실험프로젝트-세계시계
    .─ 7-Segment를 이용하여 시간 출력.─ 발진회로를 이해하고 비 동기식 카운터를 만든다. ... Project 내용 [구현] 1) 위 브레드보드 부분 - 세그먼트 - 7447 디코더 - 10진 카운터 - AND Gate2) 아래 브레드보드 부분 - 발진회로 - 발진확인
    리포트 | 23페이지 | 2,000원 | 등록일 2014.12.09
  • [기전공학실험]PWM제어를 이용한 모터 회전수 측정
    .※ 테스터기의 측정 LED사용 목적은 모이기 위함이 아닌 IC의 출력신호의 확인과 구동 확인을 위해 사용되었다.(6) 7 세그먼트(Segment)7 세그먼트 표시기라고 하는 소자는 ... (common-anode type)과 캐소드 공통형(common-cathode type) 2가지가 있는데 눈으로는 식별이 불가능하다.세그먼트 극성 판별하는 방법은 테스터기의 레인지를 ... 접촉시키고 +극 테스터봉을 접촉하였을 때 점등하면 캐소드 타입이다.세그먼트는 모터에 날개를 달아 모터가 회전하면 날개가 센서를 통과하면서 모터의 회전수를 카운터하게 되는데 이 때
    리포트 | 14페이지 | 1,500원 | 등록일 2016.03.14
  • ASIC설계 홈 오토맨션
    기능- piezo로 open 알림 소리 기능- vfd (Door Lock Mode)○ keypad 3입력 시 (보일러)- Step Motor 동작하며 보일러 on 기능 표시- 7-Segment ... 여기서 숫자패드 1을 누르게 되면 그림 10과 같이 step모터는 회전을 하게 되고 세그먼트에는 온도가 출력이 되면서 step모터의 화전수에 따라 온도가 올라가다가 설정이 된 온도에서 ... -보일러 모드시 모터를 돌렸을때 세그먼트에 온도를 출력하게과 브레인스토밍을 하여 분석, 토론하여 마감시간까지 최종 설계를 해낼 수 있었습니다.이번과제를 수행하면서 느낀점은 평소에 관심을
    리포트 | 43페이지 | 2,500원 | 등록일 2017.04.11
  • 신호등 설계
    , Piezo)Clk과 rst주기를 이용하여 세그먼트, LED, 피에조 동작6조♥소스 분석(Dot_matrix)Clk, rst, enable을 이용하여 Dot_matrix를 구현6조 ... 보행자를 위한 신호등 제작6조♥소스 분석(Clk_division)Clk의 경우 기존 reg[17:0]의 주기를 조절하여 3가지 최종 모듈에 맞도록 clk값을 조절소스 분석(LED, 7-Segment ... ♥소스 분석(step motor)Clk 과 enable을 이용한 stepmotor 사용6조♥Block DiagramClk값 설정LED, Piezo, Segment 모듈Dot-matrix모듈Stepout
    리포트 | 15페이지 | 2,000원 | 등록일 2015.01.22
  • 디지털회로 실험 7-세그먼트 결과 레포트 (시뮬레이션)
    7-세그먼트 디코더실험결과1. ... 실험과정 5.4의 결과에 따라서 진리표를 만들고, 7-세그먼트 LED의 출력을 사진으로 첨부하시오.4진수 : 0 , B : 0, A : 0일때의 7-segment 시물레이션4진수 :
    리포트 | 4페이지 | 1,000원 | 등록일 2013.12.09
  • 원도우의 구조와 작동원리 논문
    Segmentation기법으로 해결: OS가 프로그램이 실행되기 전에 세그먼트 레지스터(CS, DS, DD, ES 등) 값을 지정해 준다. ... Offset값으로 세그먼트 내에서 주소이동이 이뤄진다. ... -Near Jump : 동일 세그먼트 내에서 이동하는 경우 예) jmp 1000h-Far Jump: 다른 세그먼트에 있는 주소로 이동하는 경우 예) jmp 2000:1000h: 다른
    리포트 | 29페이지 | 2,000원 | 등록일 2014.12.23 | 수정일 2015.01.24
  • 7-segment를 이용한 숫자 출력 프로젝트 최종 보고서
    여기서 막대 모양의 LED 하나하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다. ... 그림에서 알 수 있듯이 7세그먼트 표시기는 막대모양의 LED(Light Emitted Diode) 7개를 8자 모양으로 배열시키고 각 LED에 불을 켜거나 끔으로서 10진 숫자를 표시하도록 ... 실험에 사용한 7-Segment가 애노드 타입이기 때문에 카르노 맵에서 0에 해당하는 값을 기준으로 묶었다.< 7-Segment의 display table >< 카르노 맵 & 논리식
    리포트 | 12페이지 | 2,000원 | 등록일 2013.12.22
  • Decoder, encoder와 multuplexer, demultiplexer 예비 report
    이것은 BCD로 나타내는 숫자신호를 7-Segment로 디코딩시킨후 이를 해당 발광소자 (LED)에 연결하여 10 진수가 표시되도록 하는 장치이다.7-Segment의 형태를 참고로 ... bar{D} +A bar{B} bar{D}#g= bar{A} C bar{D} + bar{A} bar{B} C+ bar{A} B bar{C} +A bar{B} bar{C}.BCD-7 세그먼트 ... 0101100000010110110100111111001010001100110101101101101101011111011111100001000111111110011111011BCD-7 세그먼트
    리포트 | 13페이지 | 2,000원 | 등록일 2015.11.01
  • Encoder와 Decoder의 기능 예비보고서08
    BCD-7 세그먼트 디코더(BCD to 7-Segment diode)는 BCD 숫자에 대해 4개의 입력과 선분을 선택하는데 사용되는 7개의 출력을 가지고 있으며, 디코더의 7개 출력 ... (Seven Segment Indicator)디지털 회로는 LED(light emitting diode) 또는 LCD(liquid crystal display)와 같은 디스플레이 장치를 ... 사용하는 출력을 나타내게 되는데, 시계나 전자계산기 등이 좋은 예이다. 7-세그먼트는 디지털 신호에 의해 발광하는 LED가 7개의 세그먼트(선분)에 사용되는 디스플레이로 숫자를 표시
    리포트 | 3페이지 | 1,000원 | 등록일 2013.12.10
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:33 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대