• 통큰쿠폰이벤트-통합
  • 통합검색(755)
  • 리포트(711)
  • 시험자료(20)
  • 방송통신대(14)
  • 논문(8)
  • ppt테마(2)

"SEGMENT세그먼트" 검색결과 261-280 / 755건

  • 마이크로프로세서 프로젝트 report (8051컨트롤러를 이용한 디지털시계)
    PULSE COUNTER : 펄스 업/다운 계수기녹색 LED 아래 스위치의 입력에 따라 숫자가 카운트되어 세그먼트에 나타난다. ... 임피던스 값이 커져 역방향으로 흐르는 전류를 차단하게 되어 소자를 보호한다.- 7805정전압 레귤레이터로 9V의 입력을 받아서 5V출력을 만들어준다.- SN74LS47BCD TO 7-SEGMENT ... DECODER로 입력으로 0~9까지 넣어 주면 연결된 세그먼트을 구동해준다.- 89S51마이크로 컨트롤러로 키 입력도 체크하고 시간 타이밍을 체크하여 SN74LS47로 데이터를 보내주는
    리포트 | 26페이지 | 1,500원 | 등록일 2016.02.15 | 수정일 2016.06.02
  • 아마존닷컴 마케팅
    아마존닷컴 STP분석(1) Segmentation(2) Targeting(3) Positioning6. ... 세그멘테이션은 고객을 속성별로 분류하여 타겟 마케팅을 하는데 빠질 수 없는 방법으로 마케터들에겐 너무도 당연한 이야기지만 베조스는 한 단계 더 나아가 오직 한 고객에게만 적용되는 세그먼트
    리포트 | 15페이지 | 5,500원 | 등록일 2017.10.26 | 수정일 2018.09.06
  • 로레알의 인도시장 진출 전략
    STP 분석세그먼트(Segment)로서 인도의 소득계층을 분석해보기로 한다. 로레알은 인도시장에서 가르니에 브랜드를 위한 타겟마켓을 정하기 위해 인구동태적 기준을 활용하였다.
    리포트 | 6페이지 | 3,500원 | 등록일 2016.12.26
  • 기업이 수행하는 마케팅 전략에서 STP전략에 대해 기술하고, 시장세분화의 기준인 인구통계학적 변수, 사회심리적 변수 등에 대해 설명하십시오.
    단계로시장세분화를 통해 포지셔닝을 하기 전 포지셔닝을 할 대상이 필요하다.STP마케팅 전략에게 가장 중요하고 많이 연구해야 하며 신중해야 하는 단계라할수있다.③ P-(Positioning)세그먼트를 ... 라고 말 할 수 있다.① S-(Segmentation)세분화를 간단히 말하자면 하나의 기준을 잡고 정리를 해 나가는 것이 세분화의 핵심 이라고 할 수 있다. ... 설명하십시오.과 목 명 : 소비자심리학교 수 명 :이름_아이디 :제 출 일 :1.STP 전략STP마케팅이란 마케팅 전략과 계획수립시 소비자행동에 대한 이해에 근거하여 시장을 세분화(Segmentation
    리포트 | 3페이지 | 1,000원 | 등록일 2014.05.06
  • 와이어샤크를 이용한 네이버 패킷 분석
    다음으로는 데이터 전송 층이다.1번째 패킷은 위에서 봤던, 연결설정 부분의 마지막 패킷이다.2번째 패킷을 분석해보면,TCP Segment Len : 711을 볼 수 있는데 세그먼트
    리포트 | 6페이지 | 3,000원 | 등록일 2015.06.29 | 수정일 2016.12.07
  • 전자회로실험 실험9 dc모터 속도 제어 및 측정 결과보고서 후반부
    광학식 인코더의 동작을 이해한다.(2) Schmitt-Trigger Inverter를 통해 히스테리시스 특성을 이해한다.(3) 비동기식 카운터의 구조와 동작원리를 이해한다.(4) 7-Segment의 ... 이 신호들이 7447소자를 거치면서 BCD로 표현되는 2진수 코드를 7-세그먼트에 표시 되도록 함으로써, 최종적으로 가변저항으로 모터 속도를 제어하여 세그먼트에 모터 회전수를 표시되게끔 ... 처음에 브레드 보드에서 실험 하였을 때는 1초계수기는 동작을 하였지만, 세그먼트에 불이 안들어왔었습니다.
    리포트 | 7페이지 | 1,500원 | 등록일 2013.04.25
  • VHDL을 이용한 디지털시계설계
    (7-Segment)7-세그먼트는 8개의 독립된 LED들로 구성된다.(7개의 세그먼트와 하나의 소수점) 7-세그먼트는 발광 다이오드를 이용한 부품으로 0부터 9까지 임의의 수와 영문자를 ... 전체적인 디지털시계설계 소개- VHDL 구문을 이용하여 디지털시계를 설계하는 것이며 7-Segment의 동작원리에 대해서 이해하고, VHDL을 이용한 7-Segment 제어 방법을 ... 시간 설정 회로2-6. 7-Segment2-7. 애노드 공통형 & 캐소드 공통형3. VHDL을 이용한 디지털시계4. VHDL Code5. 실험 결과 및 분석6.
    리포트 | 31페이지 | 1,000원 | 등록일 2011.12.30
  • 디지털시계 ppt
    세그먼트7-segment 초 분 AM / FM 시 회도 10 진 카운터 10 진 디코더 6 진 카운터 6 진 디코더 12 진 카운터 12 진 디코더 10 진 디코더 2 진 디코더 2 ... 브래드보드판 : 직접 회로를 구현할 수 있는 판디지털시계의 작동 원리 펄스 시 , 분 , 초 설계 ( 총 6 개 세그먼트 ) 0 ~ 9 까지의 수를 표현 5V 클럭을 받아 1 씩 세기 ... 각 소자마다 특성과 기능 다름 . ※ 주의 : 11 번과 12 번핀 모두 GND 로 설정하여야만 정상적으로 작동 7-Segment : 입력된 값을 숫자로 디스플레이 .
    리포트 | 19페이지 | 1,500원 | 등록일 2012.12.10
  • [ 유한킴벌리 하기스 중국진출 마케팅전략 PPT ] 유한킴벌리 기업분석과 하기스 중국진출 마케팅 SWOT,STP,4P전략분석과 하기스 향후전망
    and Targeting프리미엄 기저귀 사용층의 특징 1) 영아가 있는 집 2) 고소득층 프리미엄 기저귀의 니즈 확산에 따라 증가 5) 세그먼트 규모 증가 중국 내에서 고소득층 비율이 ... 하기스 STP 전략분석 (1) 중국 지역별 Segment (2) Targeting (3) Positioning Map 5. 하기스 마케팅믹스 4P 전략 6. ... 도시 고소득층 5 도시 초고소득층 0.55 4 개 도시 인구 * 18.5% = 929 만 929 만 * 6000 만 /13 억 = 42.88 만 4/2 하기스의 중국진출 – STP Segment
    리포트 | 39페이지 | 4,800원 | 등록일 2015.11.18
  • 비즈니스 모델의 탄생 기법 정리
    CANVAS[1] CSCustomer Segments 고객세그먼트- 기업이 제각기 얼마나 상이한 유형의 사람들 혹은 조직을 겨냥하는지 규정- 기업은 고객을 좀 더 제대로 만족시키기 ... Partnership [7] KAKey Activities [2] VPValue Proposition [4] CRCustomer Relationship [1] CSCustomer Segments특정한 ... 특징적으로확립되고 유지된다 조직은 하나이상의 고객세그먼트에게 상품이나서비스를 제공한다[6] KRKey Resource [3] CHChannels우측 다섯가지를 실현하려면 자산으로서핵심자원이
    리포트 | 6페이지 | 5,000원 | 등록일 2013.05.25
  • (A+ 레포트) 데이터베이스의 3가지 모형(계층형, 네트워크형, 관계형)에 대하여 장단점 설명하시오.
    계층 구조는 부모 세그먼트(Parent Segment)라고 하는 데이터 세그먼트에서 시작한다. ... 부모 요소 밑에는 여러 개의 자식 세그먼트(Child Segment)가 있다.계층형 데이터 모델은 루트 세그먼트(Root Segment)에서 출발하여 다음 레벨로 점차적으로 내려오면서 ... 또 E-R 모델의 1:N 관계를 두 레코드 타입간의 부모-자식(Parent-Child) 관계로 표현한다.계층형 데이터베이스에서 필드의 집합을 세그먼트(Segment)라고 한다.
    리포트 | 7페이지 | 3,800원 | 등록일 2011.08.03
  • 7세그먼트 LED 응용 프로그램 [마컴 예비레포트]
    [][][][][][][][][][][][][][][][][][][][][][][][];[] [];[]Exp13_1.asm : Display Same Characters on 7-Segment ... system stabilizationCALLINIT_LCD; initialize text LCDCALLLCD_HOME1; display titleCALLLCD_STRING.db" 7-SEGMENT ... point) 세그먼트를 가지는 모델의 경우에는 모두 8개의 세그먼트로 구성된다.
    리포트 | 16페이지 | 1,000원 | 등록일 2012.05.22
  • FCM공법 처짐관리
    최종적으로 연결하는 SEG② 연결완료 후 공용시 가장 취약한 부위(5) 발생 응력① 주두부측 상부는 인장응력, 하부에는 압축응력 발생② KEY Segment(중앙부) 상하부에 인장 ... KEY SEGMENT 시공 前캔틸레버간 단차 조정의 유의사항(1) KEY-SEG 시공 전에 도로종단경사를 감안, 비슷한 높이 유지(2) 단차가 심할 경우 스페어 텐던을 인장하여 단차를 ... 세그먼트 시공시 KINK 현상이 발생되지 않도록 유의해야 한다.(1) KINK현상 : 시공오차로 인해 前세그먼트와 시공되는 세그먼트 사이의 꺾임 현상(2) 방지책 : 종단경사, F/
    시험자료 | 4페이지 | 1,500원 | 등록일 2011.10.14
  • 리눅스를 이용한 시계설계. 프로세스설계
    세그먼트 선택 : 0x14801000-Text LCD :-LED :-각 bit의 값이 1이 되면 해당LED가 ON이 된다-base address : 0x1480_5000#include ... #include #include #include #include #include #define SEGMENT1 0x14800000#define SEGMENT2 0x14801000# ... );addr_seg2= (unsigned char *)mmap(NULL, 4096, PROT_WRITE, MAP_SHARED, fd,SEGMENT2);if(addr_seg1 < 0
    리포트 | 12페이지 | 5,000원 | 등록일 2013.03.12
  • An Examination of Deal Proneness Across Sales Promotion Types
    있는지 , (2) 구체화된 판촉에서의 소비자 세그먼트가 있는지 에 대 해 조사해보고자 하였음 ° 이를 통해 , 다양한 판촉을 시행하고 있고 , 각 판촉이 그에 맞는 소비자들에게 효과적으 ... 소비자들을 위해 판촉을 전략적으로 계획하고 제시하는 것 또한 중요하다고 판단됨 ° 따라서 , 본 연구는 (1) 다양한 판촉 유형에 따른 소비자들의 판촉 이용가능성을 이용한 소비자 세그먼트가 ... 판촉 유형에 대한 소비자들의 반응 민감성에 영향을 받기 때문에 , 이에 대해 구별하여 연구할 필요가 있음 °Mayhew and Winer (1992) 의 연구 결과를 보면 , 한 세그먼트
    리포트 | 21페이지 | 2,000원 | 등록일 2012.05.26
  • 공부해야할 oracle Database 내용 정리
    ) 우선순위- 세그먼트--> 테이블스페이스--> 오라클 디폴트35) EXtent 할당 및 할당 해제- Extent 할당 : 생성될 경우(Create),확장될 경우(Extend),변경될 ... ,익스텐트,데이터블럭)- 물리적 구조(OS 파일,OS블록)31) 저장영역 및 관계 구조32) 테이블 데이터가 저장되는 방식33) Segment유형34) 저장 영역절(Storage Clause ... Manager(109 page)22) sql*plus 및 iSQL*plus 를 사용한되지 않습니다.30) 저장영역 구조의 개요(167 page)- 논리적구조(데이터베이스,테이블스페이스,세그먼트
    리포트 | 8페이지 | 1,500원 | 등록일 2014.03.20
  • [Lab#4]7-Segment LED Display 실습
    구동방법은 3극관의 구동방법과 똑같다.Cathode와 Annode 사이에 고압을 걸고 필라멘트를 달구어 준 다음 해당 세그먼트의 그리드에 저전압을 걸어주면 세그먼트에 발라진 형광물질이 ... 가능하다.LED식 : 소형은 세그먼트 LED에 전류를 흘려주면 빛이 난다. ... 표시장치의 편리했던 점, 응용하면 좋을 아이디어를 찾아보세요.▼7세그먼트 디스플레이도 엘리먼트의 종류에 따라 여러가지가 있다.
    리포트 | 17페이지 | 1,000원 | 등록일 2011.06.02
  • Linux Fedora(리눅스 페도라) Minicom 을 이용한 디지털시계 설계
    소스 분석#include #include #include #include #include #define SEGMENT1 0x14800000//세그먼트 자리선택 제어주소#define ... SEGMENT2 0x14801000//세그먼트 선택 제어주소#define ADDRESSOFLED 0x14805000//LED의 물리주소#define ADDRESSOFTEXTLCD 0x14809000 ... 디바이스를 구현해야 되지만, 2명의 조원이 모두 C언어 프로그래밍에 취약한 관계로 3개의 디바이스를 모두 동작하지 못 할 경우, 주변 사람의 도움을 받아 기존의 배운 LED, 7Segment
    리포트 | 12페이지 | 1,500원 | 등록일 2012.10.11
  • 고객마케팅,소비자분석,마케팅,브랜드,브랜드마케팅,기업,서비스마케팅,글로벌,경영,시장,사례,swot,stp,4p
    기업이 어떻게 매력적인 시장 세그먼트를 확인하고 , 시장 표적화 전략을 선택하는지를 설명한다 . ... 특정 세그먼트나 틈새시장 욕구를 만족시키는 집중적 전략 사용 기업과 경쟁할 때에는 어려움 있음 . ... 위해 차별화된 가치를 만듬 세그먼트에서 어떤 포지션을 점유하기를 원하는지 의사결정제품포지션이란 ?
    리포트 | 49페이지 | 3,000원 | 등록일 2012.05.09
  • Pro-specs W STP 전략 성공사례
    현재의 W 기능 디자인 나이키 아디다스 프로스 펙스 W 기능 디자인 프로스 펙스 W 나이키 아디다스 운동화 세그먼트 워킹화 세그먼트 새로운 Segment 생성 후 Target Segment ... Segment 3. Targeting 4. Positioning 5. 지 금 의 W1. ... Segment ( 시장세분화 ) 운동화 종류별 시장세분화연령 남자 여자 10 대 브랜드 운동화 ( 축구화 , 농구화 ) 디자인 20 대 브랜드 디자인 브랜드 30 대 기능성 , 사회성
    리포트 | 15페이지 | 2,000원 | 등록일 2011.07.13
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:37 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대