• 통큰쿠폰이벤트-통합
  • 통합검색(755)
  • 리포트(711)
  • 시험자료(20)
  • 방송통신대(14)
  • 논문(8)
  • ppt테마(2)

"SEGMENT세그먼트" 검색결과 221-240 / 755건

  • 비지니스모델 개발이해(MIS)
    .□ 비즈니스모델 구축 시 검토해야 할 구성요소○ 고객 세분화 (Customer Segment), 목표고객 (Target Customer) 설정 : 누구를 대상으로 할 것인가? ... 상호 의존적인 목표고객 그룹을 서로 유저로 끌어들일 수 있도록 플랫폼을 구성함으로써 가치를 증대시킬 수 있게 하는 것- 무료(Free) 비즈니스 모델 : 핵심고객층(예컨대 특정 세그먼트
    리포트 | 5페이지 | 2,000원 | 등록일 2019.01.21
  • 아주대 논리회로실험 설계 프로젝트 결과보고서
    .- 각각의 세그먼트는 만, 천, 백, 십, 일의 자리를 표시한다.- 기준 clk은 100Hz로 Divide하여 사용한다.- 프로그램 시작 시 00000에서 key0 신호를 받아 99999까지 ... [Segment Clock Counting Part] : Clock signal을 받아 7-segment 출력에 알맞은 형태로 변형함Clock diving part를 지나며 10Hz이 ... 실험 준비물 & DataSheetQuartus Ⅱ 64-bit, DEO-NanoFND 1개[실험에 쓰이는 IC의 Data Sheet]7448 (BCD To 7-Segment)7490
    리포트 | 8페이지 | 2,000원 | 등록일 2016.06.16
  • IC 칩을 이용한 수위계측기 최종보고서
    ~G까지의 각각 이름을 붙이고 도트가 있는 경우는 ‘Dp’라는 별도의 이름을 부여한다.7-segment의 고유한 특징 때문에 몇가지 특성이 있는데, 그중 하나가 A~G까지 각각의 세그먼트들은 ... 또한, 무작정 7447 IC칩에 7-Segment를 연결하였는데 9V를 주었더니 7-Segment가 견디지 못하였다. ... 7SEGMENT 코드를 발생시킨다.또한 7447의 4, 5번 핀 RBO, RBI를 이용하여 앞자리의 0의 표시 설정도 가능하며 3번 핀LT 포트를 이용하여 7SEGMENT의 점등 테스트가
    리포트 | 8페이지 | 1,000원 | 등록일 2014.12.23
  • 필라멘트 램프
    2 Vsocket 2.1socket2.5socket 2.910V1.6V1.8V1.실험 제목: (2-1)Bar Graph Display(2-2)LIQUID CRYSTAL(SEVEN SEGMENT ... 여기서 막대 모양의 LED 하나 하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다.(6) 7세그먼트 표시기디지털 판독기는 LED대 ... 하지만, CRT에 비해 시인성이 우수하고, 평균소비전력은 같은 화면 크기의 CRT에 비하면 30-40%정도이며, 발열량도 작다.(5) 7-세그먼트7세그먼트 표시기라고 하는 소자는 0에서
    리포트 | 17페이지 | 1,000원 | 등록일 2015.11.16 | 수정일 2018.04.27
  • 인터넷의 이해 대구대 OSI 7계층과 TCP/IP를 비교하여 설명, DNS설명 과제
    (Segment)가 포함된다. ... 게이트웨이전체 메시지를 발신지 대 목적지(종단 대 종단)간 제어와 에러를 관리한다.패킷들의 전송이 유효한지 확인하고 실패한 패킷은 다시 보내는 등 신뢰성 있는 통신을 보장하며, 머리말에는 세그먼트 ... .- 사실 상 PDU는 2계층(Frame), 3계층(Packet), 4계층(Segment)을 생각하는 것이 옳다.- 심화적으로 알기위해서 왜 각페이스, 전자우편, 데이터베이스 관리
    시험자료 | 8페이지 | 1,500원 | 등록일 2018.03.26
  • 아마존닷컴 마케팅개론
    아마존닷컴 STP분석(1) Segmentation(2) Targeting(3) Positioning6. ... 세그멘테이션은 고객을 속성별로 분류하여 타겟 마케팅을 하는데 빠질 수 없는 방법으로 마케터들에겐 너무도 당연한 이야기지만 베조스는 한 단계 더 나아가 오직 한 고객에게만 적용되는 세그먼트
    리포트 | 14페이지 | 5,000원 | 등록일 2019.05.07
  • 텔레비전+방송+프로그램+비평을+위한+포맷-2014-2
    .■ 세그먼트와 구성/구조(Structure) 분석1) 분석 대상이 되는 에피소드의 주요 세그먼트를 중심으로 순서대로 번호를 매기시오.(5~10개 Segment)2) 각 세그먼트가 ... 시작된 시간을 분과 초로 나타내시오.3) 각 세그먼트의 길이를 분과 초로 나타내시오.4) 각 세그먼트가 포함하고 있는 소재의 유형, 등장인물, 일어난 일들 (행동, 대화, 음악유형, ... 구성/구조(Structure)앞의 세그먼트 분석을 이용하여 프로그램의 구조를 분석하시오.
    리포트 | 10페이지 | 2,000원 | 등록일 2015.11.16
  • 디지털실험 4예비 실험 4. 엔코더와 디코더 회로
    BCD to 7-Segment 디코더에 대해 설명하라.디지털회로는 LED(light emitting diode) 또는 LCD(liquid crystal display)와 같은 디스플레이 ... 장치를 사용하는 장치를 사용하는 출력을 나타낸다. 7-세그먼트는 디지털 신호에 의해 발광하는 LED가 7개의 세그먼트(선분)에 사용되는 디스플레이의 숫자를 구성한다. ... 또한 BCD-7세그먼트 디코더는 BCD숫자에 대해 4개의 입력과 선분을 선택하는데 사용되는 7개의 출력을 가지고 있으며, 디코더의 7개 출력(a~g)은 아래의 표와 같고 이는 7-세그먼트
    리포트 | 6페이지 | 1,000원 | 등록일 2014.09.30
  • 플레이스테이션 비즈니스모델 9 building blocks 중심으로
    고객세그먼트 Customer Segments8.Key Partners - 게임 개발업체 - 하드웨어 , 소프트웨어 판매대행업체 - 하드웨어 부품 납품업체9.Cost Structure ... 고객세그먼트 Customer Segments - 세계 최고수준의 하드웨어 - 다양한 게임 - 다양한 게임업체 - 게임 , 하드웨어 개발자7.Key Activities - 하드웨어 R ... 고객세그먼트 Customer Segments - 하드웨어 R D (motion controlled tech) - 게임 라이센싱 - 유통8.Key Partners - 게임 개발사 -
    리포트 | 33페이지 | 3,000원 | 등록일 2014.02.08
  • 엔코더와 디코더 회로 결과보고서
    그래서 세그먼트에 가장 적당한 전류의값을 저항을 통해서 찾아 주어야 한다. ... 그리고 그LED(7-SEGMENT)는 동작하기 좋은 전류값이 정해져 있는데 가장 적당한 전류값을 찾기 위해 저항을 사용한다. ... 전류가 너무 많이 흐르게 되면 LED(7-SEGMENT)가 타버리게 되고 전류가 너무 적게 흐르면 LED의 빛이 흐려진다는 사실을 알 수 있다.
    리포트 | 3페이지 | 1,000원 | 등록일 2014.06.03
  • 실험4 프로젝트 보고서 ( 소스해석 등등) 직접 작성 마이크로컨트롤러 마이크로프로세서 타이머 시계
    출력함수로 0, 0, 0을 전달하여 모든 세그먼트에 0이 표시되도록 한다.회로의 모든 버튼은 Active Low이므로 동작할 때 비트값은 0이 된다. ... 1 증가시키고 초기화되므로 cent변수는 250μ초 × 40카운트 = 10밀리초(1/100초)에 1증가되는 셈이다.timer_run변수는 이 초시계의 동작 상태를 저장하며 처음에 세그먼트 ... 연결하여 7-Segment의 출력을 제어한다.
    리포트 | 19페이지 | 3,000원 | 등록일 2014.12.14
  • D3000
    Display : 바그래프 디스플레이Phototransistor : 광트랜지스터Amplifier : 증폭기Comparator : 비교기Pulse Counter : 펄스 계수기Seven Segment ... display : 7 세그먼트 디스플레이슈퍼마켓에서와 같이 상업적으로 사용되는 바코드는 복잡한 디지털 회로소자가 필요하기 때문에 가능하다. ... 바그래프 읽기소스로서 사용되고 액정 7 세그먼트는 결과값을 보여준다. 광트랜지스터의 출력전압은 카운터를 직접적으로 구동하지 못하기 때문에, 출력값이 흔들리고 rise타임이 늦다.
    리포트 | 7페이지 | 1,000원 | 등록일 2015.11.16 | 수정일 2018.04.27
  • [기초회로실험] 26장. 광전자 소자특성 예비레포트
    일될 문자의 한 부분을 형성하기 때문에 세그먼트(SEGMENT)라고 부른다.그림 26-2(b)는 회로도이다. 양(+)의 전압이 모든 양극에 인가된다. ... 또한 A, B, C, D와 G의 음극을 접지하면 3을 얻는다. 7-세그먼트 배열은 각기 개개의 세그먼트를 도통하기 위하여 양극전압을 사용하는 공통-음극(CATHODE) 형태가 유용하다 ... 그렇기 때문에 액정 디스플레이의 백라이트를 냉음극 형광 램프에서 발광 다이오드로 변경하여서 색 재연 범위를 크게 개선할 수 있다.(3) LED의 7-세그먼트 표시기는 어떤 영문자와
    리포트 | 8페이지 | 1,000원 | 등록일 2016.01.16 | 수정일 2022.10.13
  • MBA 제니시스 STP 4P 전략
    , 여기에서는 경쟁차종과 함께 대형 Premium Segment 로 구분하여 정의함 .2.STP Strategy - 개인고객 Segmentation 승용차 시장의 개인 고객을 세그먼트한 ... Source : Accenture2.STP Strategy – Targeting GENESIS 의 Target Segment 는 단일 세그먼트로 “Premium Car Segment ... 있는 신 제품을 선택하여 포지션 ” 하고 , 향후 “ 이 세그먼트 내에서 차별화된 가치를 제공하는 전략 ” 을 취해야 함 .
    리포트 | 17페이지 | 17,000원 | 등록일 2013.06.04
  • VHDL을 이용한 Mux, Demux, incoder, decoder, FND 설계 및 실습
    여기서 막대 모양의 LED 하나, 하나를 세그먼트(Segment)라고 하며, LED 가 7개 사용되므로 7-세그먼트라고 이름이 붙여졌다. ... BCD->7세그먼트 디코더, BCD->10진수 디코더 등으로 자주 쓰인다. ... 실생활에서는 키보드가 그 예이다(5) FND(Flexible Numeric Display)FND 또는 7-세그먼트 표시기 라고도한다.
    리포트 | 16페이지 | 1,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • 실험8. 인코더와 디코더 회로 예비
    BCD to 7-Segment 디코더에 대해 설명하라.BCD 코드를 받아들여 그것에 해당하는 숫자를 7-Segment 표시기로 표현하는 것이다.BCD 7-Segment 간략 회로 및 ... 표와 같고 이는 7-세그먼트의 입력이 된다. 7개의 출력에 대한 논리함수를 구해보면 다음과 같은 부울함수로 표시된다.BCD-7 세그먼트 디코더는 2진수를 10진수로 변환해 주기 때문에 ... 디지털 신호에 의해 발광하는 LED가 7개의 세그먼트(선분)에 사용되는 디스플레이로 숫자를 표시할 수 있다.
    리포트 | 6페이지 | 1,000원 | 등록일 2013.02.02
  • 호텔 고객지향적 마케팅전략
    Programme 비차별적 마케팅 또는 대량마케팅 - 세분시장 간의 차이를 무시하고 , 하나의 제공물로 전체시장을 겨냥 , 고객 욕구의 차이점보다는 공통점에 초점 - 여러 세분시장을 대상으로 세그먼트별로 ... 선택하는 과정 : 고객에게 더 많은 가치를 줄 수 있도록 실제로 기업의 시장 상품을 경쟁사와 차이가 나게 해 , 목표소비자의 마음속에 자리잡게 하는 과정시장 세분화 (Market Segmentation ... Learning Facilitator Series Two Levels I II Certification Programme 대량 마케팅에서 표적시장 마케팅으로 이동 시장 세분화 (Market Segmentation
    리포트 | 10페이지 | 2,000원 | 등록일 2014.12.11 | 수정일 2015.01.23
  • 의료정보 표준화
    구성 ② 세그먼트(Segments) : 정해진 순서가 있는 필드들의 논리적 집합임 ③ 필드(Fields)HL7HL7의 의미구분자 종류값위치용 도세그먼트 구분자cr-- 세그먼트의 종류를 ... 각 세그먼트의 첫 번째 데이터 필드와 세그먼트 ID를 구분할 때도 사용됨콤포넌트 구분자^1- 데이터 필드의 인접한 콤포넌트를 구분할 때 사용됨서브콤포넌트 구분자4- 데이터 필드의 인접한 ... 주는 메시지를 다시 시스템 A로 보냄으로써 하나의 사이클이 마무리 된다.HL7HL7의 의미[4] HL7의 구조 ① 메시지(Message) : 데이터 전송의 가장 작은 단위이며, Segment들로
    리포트 | 23페이지 | 2,000원 | 등록일 2014.06.04
  • 인코더 및 인코더
    실험 목적▶ Encoder 에 대한 특성이해▶ Decoder 에 대한 특성이해▶ Encoder 에 대한 동작원리 이해▶ Decoder 에 대한 동작원리 이해▶ BCD 7-Segment ... 검토 및 문제점 분석이번 실험의 목적은 인코더와 디코더에 관해 실험해보고 디코더를 이용하여 BCD 7세그먼트를 만들어보는 실험이었다.디코더는 입력 n개에 대해2 ^{n}개의 출력을 ... 이것을 이용하면 여러 회로에 응용할수 있게 된다.7447 7세그먼트 시뮬레이션을 보면 책에있는 회로와는 좀 다르게 되어있는데, 내가쓰는 프로그램이 책과는 다르게 간단하게 구성되어 있기
    리포트 | 16페이지 | 1,500원 | 등록일 2013.10.15
  • 신뢰성 있는 스트림 전송 서비스
    4 까지 누적 승인번호전송 s1 s2 s3 s4 Segment 3 수신 Timer Stop 연결 SYN 세그먼트 SACK-Permitted=1 SACK = S4 Segment 4 ... ) 서버 Window Window 클라이언트 Segment 1 전송 Segment 2 전송 Segment 3 전송 Segment 4 전송 S3 전송실패 s1 s2 ACK = S2 s1 ... 대신 ACK=S2 와 SACK=S4 전송 s1 s2 s3 s4 SEQ=S1 SEQ=S2 SEQ=S4 SEQ=S3 Segment 3 만 재전송 s1 s2 s3 s4 ACK = S4 Segment
    리포트 | 17페이지 | 1,500원 | 등록일 2012.04.17
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:21 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대