• LF몰 이벤트
  • 유니스터디 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(4,282)
  • 리포트(2,597)
  • 자기소개서(1,558)
  • 시험자료(62)
  • 방송통신대(27)
  • 논문(13)
  • 서식(12)
  • ppt테마(7)
  • 이력서(6)

"공학설계실습" 검색결과 481-500 / 4,282건

  • 5-2 초등 수학_대응관계를 활용한 로봇 만들기 교수학습 과정안
    로봇에 적용된 소프트웨어 사례를 찾아보고 자신의 로봇 설계해보기평가유형□서술?논술?구술?발표□토의?토론□프로젝트□실험?실습 □포트폴리오□자기평가?동료평가? ... 학습 문제 확인대응 관계를 활용하여 미래 로봇의규칙을 만들고 설명해보자1분학습활동 안내[활동1] 로봇 공학자가 되어보자![활동2] 내가 설계한 로봇 홍보하기전개활동 1? ... PPT1(로봇 설명), 로봇공학자 설명 영상(약 1분)학습문제확인?
    리포트 | 2페이지 | 2,500원 | 등록일 2022.11.27
  • A+ 중앙대 아날로그및디지털회로설계실습(결과)1. 접촉식 초전형 (Pyroelectric) 적외선 센서
    중앙대학교 전자전기공학부, “아날로그 및 디지털 회로 설계 실습”, pp28-322. ... 설계실습 방법1-4-1 실험계획서에 구성된 회로를 설계하고, 초전형 적외선 센서의 단자를 주의하여 연결하시오. ... 설계하였다.HPF와 amp, led를 이용하여 설계하였을 때 적외선 센서에 손을 갖다대면 led가 켜지는 것을 확인할 수 있었다.
    리포트 | 3페이지 | 1,000원 | 등록일 2022.09.10
  • (교육공학) 교육공학에 대한 교육공학자들의 정의를 참고하여 자기 자신 나름대로의 교육공학에 대한 정의를 내리고, 교육공학의 다섯 가지 주요 영역
    시청각 교육 같은 것이 교육공학의 대표적인 예가 될 수 있다.내 경우, 고등학교 재학 시절, 칠판 위에서 커다란 흰 종이를 끌어내려 놓은 상태에서 실습실에서 프로젝터를 가져와서 컴퓨터 ... 교육공학의 다섯 가지 영역1) 설계한편, 나는 교육공학을 이루고 있는 다섯 가지 영역에 대해 궁금해져 조사해 보았다. 먼저, 첫째로, 설계 영역이 존재한다. ... 서론, 교육공학에 대한 정의Ⅱ. 본론1. 교육공학의 다섯 가지 영역1) 설계2) 개발3) 활용4) 관리5) 평가2.
    방송통신대 | 7페이지 | 2,000원 | 등록일 2020.02.03
  • (미래사회와 유아교육) 크로마키 앱을 활용하여 실습한 사진을 제시하시오
    STEAM교육의 RST 모형을 참조하여, 제품의 어떠한 기술 및 성능을 활용하여 유아에게 어떻게 적용할 수 있을지 쓰시오.1) STEAMSTEAM은 과학, 기술, 공학, 인문·예술, ... 크로마키 앱을 활용하여 실습한 사진을 제시하시오,(사진 3컷)1) 수박2) 사이다3) 옷2. ... 크로마키 앱을 활용하여 실습한 사진을 제시하시오,1) 수박→→2) 사이다→→3) 옷→→2.
    방송통신대 | 6페이지 | 2,000원 | 등록일 2023.01.31 | 수정일 2023.02.01
  • [첨삭완료][보고서 점수 1등] 2021년 [전기회로설계실습 결과보고서 03] 분압기(Votage divder) 설계
    그러므로 전자전기공학에서 이러한 분압기는 회로를 설계 및 분석하는데 있어서 꼭 알아야 할 장비이고, 분압기를 직접 설계해보는 이번 실습을 통해 분압기의 작동원리와 역할을 이해하는데 ... 전기회로설계실습 결과 보고서설계실습 3. 분압기(Votage divder) 설계설계실습 계획서는 제출 시 초안이 아닌 조교의 채점 후 피드백을 반영하여 수정한 보고서입니다. ... 회로이고, 그림 (2)는 실습 전 계획 과정에서 설계한 회로이다.
    리포트 | 4페이지 | 1,500원 | 등록일 2022.09.15 | 수정일 2022.11.16
  • [아날로그 및 디지털 회로 설계실습] 결과보고서(과제)4
    아날로그 및 디지털회로설계 실습(실습4 결과보고서)소속전자전기공학부담당교수수업 시간학번성명설계실습 4. 신호 발생기과제1.
    리포트 | 1페이지 | 1,000원 | 등록일 2022.09.14
  • 디지털시스템설계 4주차 과제
    위의 결과를 보는 것과 같이 모두 정상적으로 작동하고, 회로가 제대로 설계된 것을 확인할 수 있었다. ... 이번 시간은 실습에서 진행했던 8-to-1 MUX, 4bit-Adder, 4-bit 2’s complement Adder에 대 해 과제로 test vench를 작성하고 이에 대한 wave
    시험자료 | 8페이지 | 1,500원 | 등록일 2023.03.30
  • 디지털시스템설계 3주차 과제
    시험자료 | 1페이지 | 1,500원 | 등록일 2023.03.24
  • 통신이론설계 실습과제 8주차입니다
    HW #8학과정보통신공학과제출일자2022.11.18학번Name분반교수명• ObjectFM 신호의 복조과정을 이해하고, FM-AM-conversion의 과정을 이해하고 그 결과를 메시지 ... 또, 전송전력의 증가없이 신호 대 잡음비의 증가를 제공한다는 것에서도 차이가 있었다.이와 같이 이번 실습을 통해 LPF의 RC 특성에 따라 메시지의 복조가 달라진다는 점과 FM과 AM ... 공대생들의 눈가를 촉촉하게 하는 크리스마스 노래가 재생되는 것을 알 수 있고, 약 15초동안 재생되어 복조된 음원이 제대로 재생되는 것을 확인하였다.• Discussions이번 실습
    시험자료 | 7페이지 | 1,500원 | 등록일 2023.03.18
  • 통신이론설계 실습과제 9주차입니다
    파형을 비교하기 전 전파공학에서 배웠던 다중경로 전파방해 내용이 떠올라 내부에서건물 내부에서 실습을 진행하고, 신호가 가장 잘 잡힐 수 있는 건물 옥상에서 실습을 진행하여 파형을 비교했다 ... 역으로 Y값이 가장 최소, 즉, 가장 작은 곳에서는 제일 느리게 진동하기 때문에 이와 같은 현상이 생기는 것이다.이번 실습을 통해서 전파공학에서 배웠던 다중경로 전파 방해에 대해서 ... HW #9학과정보통신공학과제출일자2022.12.2학번Name분반교수명• Object• poblem• Solutions(Source code and Simulation results)
    시험자료 | 7페이지 | 1,500원 | 등록일 2023.03.18
  • 통신이론설계 실습과제 프로젝트입니디
    PROJECT학과정보통신공학과제출일자2022.12.15학번Name분반교수명• Object• poblem• Solutions(Source code and Simulation results ... *cos(2*pi*f1c*t);RC=0.0000008; %필터 설계audiowrite('AM_Demodulated.wav',D_XXd_Rael(:),2400000)위와 같이 설정하여 ... 같았다.b.DSB 변조된 신호DSB 변조된 신호의 반송파 주파수를 알아내기 위해f2c=300000;%carrier frequency (300khz)RC=0.00000009; %필터 설계Xc
    시험자료 | 7페이지 | 3,000원 | 등록일 2023.03.18
  • 통신이론설계 실습과제 7주차입니다
    이번 실습은 주파수 검파기는 입력의 순시 주파수에 대해 선형적으로 변하는 출력 전압을 발생시키는 것을 확인하고, 영점 교차 검파기의 구조와 동작 과정을 이해해보는 실습이었다.
    시험자료 | 3페이지 | 1,500원 | 등록일 2023.03.18
  • 통신이론설계 실습과제 HW2
    이번 과제는 지난 실습 시간에 못했던 제어문의 예제와 0~1사이의 임의의 실수 1000개를 갖는 행렬의 원소의 구간별 빈도수를 값으로 구하는 과제와 빈도수를 구하는 함수를 통해 난수행렬의
    시험자료 | 6페이지 | 1,500원 | 등록일 2023.03.18 | 수정일 2023.10.05
  • 통신이론설계 실습과제 3주차입니다
    HW #3학과정보통신공학과제출일자2022.10.6학번Name분반교수명• Problem(예제 포함)• Solutions(Source code and Simulation results)
    시험자료 | 13페이지 | 1,500원 | 등록일 2023.03.18
  • 통신이론설계 실습과제 4주차입니다
    이번 실습은 Transversal equalizer 설계를 통해 equalizer의 구조와 동작과정을 이해하고 Transversal equalize의 구조에서 tap의 개수에 따라 ... 어떤 변화가 발생하는 지를 확인해보는 실습이었다. ... 또, 이번 실습에서 함수를 delay할 때 zeros 행렬을 활용하면 편하다는 것을 알 수 있었다.
    시험자료 | 9페이지 | 1,500원 | 등록일 2023.03.18
  • 기계항공공학부,융합공학산업,스포츠과학기술,나노기술,열역학,유체역학,항공공학
    기계 심화 중 선택 ) 열역학 고체역학 유체역학 동역학 공학수학 열전달 , 역학과 설계 , 설계 제조 및 실습 , 항공우주제어원리 , 기계항공공학실험 , 최적설계 , 로봇공학 , 자동차공학 ... 프로세서 설계 및 최적화 고성능 설계 High Performance 저발열 설계 Thermal-aware Design 신뢰성있는 설계 Process variation Aware Design ... 저전력 설계 Low-power Design 심뇌자극 ( 파킨스씨병 치료 ) 인공청각 인공시각 임플란트용 전기자극기직업 및 관련학과 직업 전기공학 기술자 , 반도체 공학기술자 , 이공학계열교수
    리포트 | 16페이지 | 3,000원 | 등록일 2020.04.17
  • 9. 4-bit Adder 회로 설계 예비보고서 - [아날로그및디지털회로설계실습 A+ 인증]
    아날로그 및 디지털 회로 설계 실습-실습 9 예비보고서-4-bit Adder 회로 설계소속중앙대학교 전자전기공학부담당 교수님*** 교수님제출일2021.11.11(목)분반, 조**분반 ... 실습 목적- 조합논리회로의 설계 방법을 이해하고 조합논리회로의 한 예로 가산기 회로를 설계한다.3. ... 실습 활용 방안- XOR gate 를 사용하여 전가산기를 설계해보았고, 두 개의 전가산기를 연결하여 2-Bit 가산기를 설계해보았다.
    리포트 | 10페이지 | 1,000원 | 등록일 2022.10.30 | 수정일 2023.01.03
  • 4. 신호발생기 예비보고서 - [아날로그및디지털회로설계실습 A+ 인증]
    아날로그 및 디지털 회로 설계 실습-실습 4 예비보고서-신호발생기소속중앙대학교 전자전기공학부담당 교수님*** 교수님제출일2021.09.30(목)분반, 조**분반, *조학번2***** ... 실습 목적-Wien bridge RC 발진기를 이용하여 신호 발생기를 설계, 제작, 측정하며 그 동작을 확인한다.3. 실습 준비실습 준비물부품Op amp. ... 실습 계획서1. 신호발생기 설계(A) 그림 4-1에 주어진 Wien bridge 회로에서 V+와 V-의 관계식을 구하시오.
    리포트 | 10페이지 | 1,000원 | 등록일 2022.09.18 | 수정일 2023.01.03
  • 수의 정렬 회로 VHDL 설계
    ▣ 수의 정렬 회로 설계Ⅰ. 수행 및 제출(1)Ⅱ. 수행 및 제출(2)Ⅲ. 수행 및 제출(3)[ⅰ.
    리포트 | 4페이지 | 2,500원 | 등록일 2022.05.26 | 수정일 2022.12.15
  • Switching Mode Power Supply(SMPS) 결과보고서
    설계실습 방법2-4-1 PWM 제어회로① PWM 제어회로를 구성한다. ② 톱니 파형과 출력 파형을 확인한다.오실로스코프를 통해 PWM의 톱니파형과 출력파형을 확인하였다.③ 주어진 성능을 ... 만족하는지 확인하다.설계목표인 출력전압 0V~10Vpp , 스위칭 주파수 12.5kHz(=주기 80us)를 만족하였다.2-4-2 Buck Converter① Buck Converter
    리포트 | 4페이지 | 1,000원 | 등록일 2023.01.21
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:09 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대