• 통큰쿠폰이벤트-통합
  • 통합검색(968)
  • 리포트(956)
  • 서식(5)
  • 시험자료(5)
  • 논문(1)
  • 방송통신대(1)

"74HC" 검색결과 541-560 / 968건

  • 아주대 논회실 논리회로실험 실험2 예비보고서
    실험 부품- 5V 전압원- 파형발생기- 74HC04, SN74HC14- 1㏀ 저항- 오실로스코프, 멀티미터4. 실험 과정 및 예상 결과실험 1.
    리포트 | 8페이지 | 1,500원 | 등록일 2014.04.08 | 수정일 2015.04.16
  • 아주대학교 논리회로실험CMOS 회로의 전기적 특성예비
    trigger inverter TI SN74HC14 1개 ... 실험방법1)주요디바이스DC전원공급기, 파형발생기, 오실로스코프, BNC 프루브 2개, 멀티미터, 1KΩ 1개IC : Inverter Philips 74HC04N 2개, Schmitt ... 예를 들면, LOW상태에 있는 HC계열 CMOS의 경우, VIlmax(1.35V)가 VOlmax(0.1V)를 1.25V만큼 초과하므로 LOW상태 DC 잡음 여유는 1.25V이다.
    리포트 | 3페이지 | 1,000원 | 등록일 2013.11.29
  • 실험1결과 Basic Gates
    input OR gate의 경우, (0 0 0)일 때 두 출력 모두 LOW, (0 0 1)일 때 L2만 HIGH, 나머지 모든 경우에 대하여 HIGH인 결과를 얻었으며, 이는 조사한 74HC32의 ... 실험 결과실험1-2) 3-input OR gate 구성A+B=CL1=A+B#L2=(A+B)+CABCL1L20*************1011111001110111110111111174HC32의 ... bar{A BULLET B} `#L2= bar{bar{(A BULLET B)} BULLET C}ABCL1L2000110011001011011101001110110110011110174HC00의
    리포트 | 5페이지 | 3,000원 | 등록일 2014.05.13
  • 논리예비3 가산기와 감산기 (Adder & Substractor)
    실험기기(1) Power Supply (5V DC 전압원)(2) IC : 74HC86(XOR), 74HC08(AND), 74HC32(OR), 74HC04(NOT)(3) 7-segment
    리포트 | 4페이지 | 1,000원 | 등록일 2012.12.23 | 수정일 2013.11.07
  • [결과]실험10. DAC & ADC converter
    예를 들면 74HC90이 0011서 0100으로 카운팅할 때 실제 출력 값은 0111에서 0100으로 바뀌게 되므로 사진과 같은 형태로 나타남을 알 수 있었다. ... 예를 들면 74HC90이 0011서 0100으로 카운팅할 때 실제 출력 값은 0111에서 0100으로 바뀌게 되므로 사진과 같은 형태로 나타남을 알 수 있었다. ... 그래서 예를 들어 74HC90에서는 0001에서 0010으로 카운팅할 때 출력 값은 0011에서 0010으로 되므로 두 번째 하위비트가 변하는 카운팅 때에는 그전의 출력 값과 같은
    리포트 | 3페이지 | 1,500원 | 등록일 2013.09.28
  • [아주대] 논리회로실험 10장 결과(DA & AD converter(DAC & ADC))
    실험 1에서는 74HC90에서 나온 0000부터 1001까지의 digital값이22.6k OMEGA ,`10k OMEGA ,`4.7k OMEGA ,`1.5k OMEGA 으로 인해서 ... 그 결과 위와 같이 파형이 관찰되었으며 아주 미세한 차이만 있다는 것을 확인할 수 있었다.즉, 실험 전 예비보고서에서 썼듯이 74HC90소자에서 0부터 10까지 해당하는 디지털 신호를 ... 실험 전 예비보고서에서 썼듯이 74HC90소자에서 0부터 10까지 해당하는 디지털 신호를 counting하여 analog신호로 변환된 출력단의 값은 디지털신호 0부터 10까지의 값에
    리포트 | 7페이지 | 2,000원 | 등록일 2013.09.25
  • 논리결과-8-Counter
    우선 74HC74를 이용하여 0~9까지의 2진 값을 7-segment에서 0~9를 표현할 수 있도록 변환한다. ... 얻는다.InputOutputCOUNTAB0000000110000120100103100011401010051001016010110710011180110009101001실험 3-2. 7-segment 표시기를 갖는 BCD Counter실험 방법 : 74HC74를 ... Flop을 통한 3진 값을 AND 게이트를 이용하여 확인InputOutputBA001000101010001실험 3-1. 7-segment 표시기를 갖는 BCD Counter실험 방법 : 74HC90을
    리포트 | 4페이지 | 1,000원 | 등록일 2012.12.23
  • 아동간호학 태변흡인증후군(MAS) case study
    항응고제 유도성 프로트롬빈 결핍증2) 항생제, 살리실산 제제 8.4 - 10.2 mg/dL10.18.8Phosphorus(P)2.5 - 4.5 mg/dL5.1▲6.6▲Glucose74 ... 입원일2018.11.06.출생시간13:02성별MGA38주 6일BW3.710g(75-90 percentile)Ht(Height) or Lt(Length)50cm(50-75 percentile)HC35cm
    리포트 | 18페이지 | 2,500원 | 등록일 2019.05.05 | 수정일 2020.07.07
  • multiplexer & demultiplexer 예비보고서
    실험절차실험1 – MultiplexerEnable 입력을 갖는 4x1 멀티플렉서를 74HC20과 74HC04를 이용하여, 다음 회로와 같이 구성한다. ... 또한 (2)의 결과와 같은지 확인하라.실험 2 DemultiplexerEnable을 갖는 1x4 디멀티플렉서를 74HC11과 74HC04를 이용하여 다음 회로와 같이 구성한다. ... C = Ā74HC20은 Dual 4-input positive-nand gates라고 불리며,로 나타내어질 수 있다.74HC153은 Dual 4-input multiplexer라고도
    리포트 | 11페이지 | 1,500원 | 등록일 2012.03.08
  • 논리회로실험 결과2
    그래서 우리는 실험1과 2를 통해서 74HC04N과 SN74HC14의 동작에 대한 차이를 알아보았다. 74HC04N에 비해서 SN74HC14는 슈미트리거 회로로서 히스테리시스 구간을 ... CMOS 회로의 전기적 특징실험의 목적 : High-speed CMOS logic family인 74H시리즈의 전기적 특성을 이해하고 실험을 통해 동작을 확인한다.실험1. ... turn-on 저항이 비대칭을 이루는 것 때문에 L →H, H→L 일 때의 timing 특성이 달라지게 된다.토의이번 실험은 High-speed CMOS logic family인 74H시리즈의
    리포트 | 3페이지 | 1,500원 | 등록일 2012.07.13
  • SDH 케이스 비효율적기도청결 진단4 과정3
    있음 15 L/hC. route □ mask □ nasal prong □ endo tracheal tube ? 기타 t-tubeD. 호흡기 사용 □ 없음 ? ... EKG moni에도 상승ALT0-45 U/L51▲74▲93▲131▲CRP0-4.9 mg/L3.056.8▲90.1▲121.3▲▲:세균감염bloodchem-istryCreatinine0.7
    리포트 | 13페이지 | 3,000원 | 등록일 2018.11.20 | 수정일 2018.12.10
  • 디지털 시계 회로 분석 ppt
    최종적인 결과물을 숫자로 표시하기 위해 사용되는 소자 각 부품 설명I C 저 항 콘 덴 서 74LS08 (1 개 ) ,74LS247 (4 개 ) 74LS390 (3 개 ),74HC4060 ... 우선 22pF 의 콘덴서 2 개를 통해 다시 한번 안정적인 전류 공급 해주고 CRYSTAL(32.768KHz ) 를 통해 주파수 생성되어진다 . 74HC4060 IC 를 통해 2 ~ ... 진수를 7-SEGMENT 로 표시하기 위해 74LS247 IC 를 사용한다 .
    리포트 | 11페이지 | 1,500원 | 등록일 2012.12.01
  • 디지털공학1 - AND회로
    ① 실험 목적- AND회로는 논리적으로 두 변수의 값이 모두 1인 경우 그 결과 값이 1이 나오는지 실험을 통해 확인한다.② 과제- 전원 공급을 하여 PUSH 버튼을 사용해서 HD74HC08 ... IC소자를 통과하여 LED에 불이 논리값 표에 맞게 구현 해본다.③ 실험 준비물- DC Power supply, HD74HC08P IC 소자(1개), BreadBoard(1개),
    리포트 | 5페이지 | 1,500원 | 등록일 2013.02.19
  • [예비]실험2. CMOS 회로의 전기적 특성
    74HC04N(Hex inverter)? SN74HC14(Hex schmitt-trigger inverter)④실험과정 및 예상 결과?
    리포트 | 4페이지 | 1,500원 | 등록일 2013.09.28
  • [논실]예비5, 200820126, 안효중, 4조
    1개, 74HC08 1개, 74HC20 2개, 74HC42 1개, 74HC148 1개)[4] 실험 절차① 2X4 디코더→ 74HC04, 74HC08을 이용해 2X4 디코더를 구성한 ... 회로도를 참조하여 74HC42에 결선을 하면 간단하게 만들 수 있다. 입력 4개에 BCD 코드를 넣어주면 10진 코드로 출력되게 된다. ... 입력으로 10진수에 해당하는 스위치의 수를 넣어주면 LED의 점등 여부를 통해 Excess-3에 해당하는 출력을 볼 수 있다.④ 8X3 Priority Encoder→ 74HC148
    리포트 | 4페이지 | 1,000원 | 등록일 2012.02.29
  • 성인간호학 지주막하출혈 CASE STUDY
    미국 및 국내의 통계 자료를 종합하였을 때, 2015년 한 해 동안 35세에서 74세의 인구 중 약 78,500명이 생에 첫 번째 뇌졸중으로 입원한 것으로 나타났다. ... 또한 RBC, Hb, Hc 23.2▼ 26.9PLT150-400 K/uL234147213MCV80-96 fL89.792.191.5MCH26-32 pg29.930.630.3MCHC32.0
    리포트 | 19페이지 | 2,500원 | 등록일 2018.11.14 | 수정일 2018.11.17
  • 논리회로실험_결과4
    디멀티플렉서(1) Enable을 갖는 1x4 디멀티플렉서를 74HC11과 74HC04를 이용하여 다음 회로와 같이 구성한다. ... 했는데 (1)과 (3)의 차이는 둘다 4X1 멀티플렉서이고 그래서 당연히 결과값도 같게 나오기는 하지만 실험(1)은 각 게이트들의 조합으로 이루어진 먹스고 (3)은 하나의 IC(74HC153 ... 50L000000+5H00+5+5+50+5L00+500+50H0+50+50+5+5L0+500+500H0+5+50+5+5+5L0+5+5+5000H실험 1.(3) 4x1 멀티플렉서 IC인 74HC153을
    리포트 | 2페이지 | 1,500원 | 등록일 2012.07.13
  • 아주대 논리회로실험 실험예비2 CMOS 회로의 전기적 특성 예비보고서
    NMOS network on- 출력 “0”A와 B두개 모두 “0”이면- PMOS network on- NMOS network off- 출력 “1”(2) 실험에서 사용하는 Philips 74HC04N의 ... 데이터시트의 값을 이용하여 HC-CMOS logic family의 Logic level을 그리시오.VCC 5(V)→←VOHmin4.91 (v)HIGH←VIHmin3.5 (v)ABNORMAL
    리포트 | 7페이지 | 1,500원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • 논리회로실험 실험8 counter 결과보고서
    74HC90이 만든 BCD코한다. ... 구성 사진 :- 74HC76을 이용하여 2단의 2진 카운터를 결손도에 설계하고 설계를 참고하여 브레드보드에 회로를 설치한다.- 2단 2진 카운터의 출력은 AND게이트를 사용하여 나타내려고 ... 74HC90을 사용하여 BCD코드를 생성하고 그 코드를 BCD-TO-SEVEN-SEGMENT DECODERS/DRIVERS와 7SEGMENT로 표현하는 실험을 하였다.?
    리포트 | 9페이지 | 1,000원 | 등록일 2013.09.08
  • 아주대논리회로실험 4장.멀티,디멀티플렉서 예비(기본구성+빵판+예상결과)
    , 74HC153 1개, 74HC139 1개< 예 상 결 과 >실험 1. ... 실험 기기(1) DC 전원공급기 (power supply)(2) 오실로스코프, BNC 프루브 2개(3) 멀티미터(4) IC : 74HC04 1개, 74HC20 3개, 74HC11 2개 ... 디멀티플렉서 예상결과1) Enable을 갖는 1x4 디멀티플렉서를 74HC11과 74HC04를 이용하여 다음 회로와 같이 구성한다.
    리포트 | 10페이지 | 1,500원 | 등록일 2011.12.21
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 20일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:55 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대