• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(149)
  • 리포트(137)
  • 시험자료(11)
  • 방송통신대(1)

"bcd 3초과" 검색결과 1-20 / 149건

  • [디지털 공학 실습] TTL IC를 이용한 3초과 to BCD 가감산기 설계
    각 블록의 기능 및 동작 설명(계속)블 록기 능회 로 도BCD to Ex-3IC 7483BCD 코드를 3초과 코드로 변환동 작 설 명DIP 스위치를 통해 입력받은 값이 TTL IC ... LED3초과 코드 표시(LED)동 작 설 명TTL IC 7483에서 출력된 3초과 코드를 LED 로 표시.DIP스위치에 0101(5) 입력 시 7483에서는 0011(3)이 더해져 ... 7483으로 입력, 입력받은 BCD 코드(A4~A1)와 B(B4~B1)로 입력된 0011이 가산되어 출력된다. → 3초과 코드블 록기 능회 로 도Display14 LEDDisplay24
    리포트 | 9페이지 | 1,000원 | 등록일 2010.06.02
  • [논리회로실험] Decoder & Encoder 예비보고서
    (3 초과 부호)- 이진화 십진법의 일종- 십진수의 각 자리를 그 숫자에 3을 더한 4비트 이진수로 표기하는 방법- 비트를 반전 하는 것만으로도 9의 보수를 얻을 수 있음 -> 감산에 ... D _{4}0000010100101001001110002) 실험 2 : BCD to Decimal Decoder- 주어진 회로를 구성한다.- 입력 값을 변경해가며 변화를 관찰한다.- ... 입력으로 받아들여 2진수나 BCD와 같은 코드로 변호나 해주는 조합 논리회로-2 ^{n}개의 입력과 n개의 출력을 가지며 출력은 입력값에 대응하는 2진 코드를 생성함- Decoding의
    리포트 | 9페이지 | 1,000원 | 등록일 2021.04.07 | 수정일 2023.03.29
  • 시립대 전전설2 Velilog 결과리포트 5주차
    교환하기만 된다는 것, 0과 신호가 없는 경우와의 구별이 쉽다는 것 등의 특징이 있다.BCD 코드에서 3 초과코드를 사용하는 이유는 BCD코드에서는 음수를 2의 보수로 표현하게 되어 ... 표현 방법 >5) Excess-3 Code ( 3초과코드 )부호화 10진법 (수 표시법의 일종으로, 10진수를 부호화하여 표시하는 것. ... 해석해야 한다는 단점이 있던 것을 3초과코드를 사용하게 되면 그 과정이 줄어들게 된다단점 : 3을 더해주었기 때문에 한 눈에 어떤 수인지 파악하는 데에 시간이 좀 더 걸린다.특징
    리포트 | 16페이지 | 1,000원 | 등록일 2021.04.16
  • 아주대학교 논리회로실험 / 5번 실험 Decoder & Dencoder 예비보고서
    Excess-3 (3초과) 코드BCD 코드에 십진수 3을 더하여 그 값을 BCD의 십진법에 대응하는 코드다. 십진법으로 0은 BCD로 나타내면 0000이다. ... 인코더와 디코더는 특정 코드를 다른 코드로 변환해주는 기능을 하는데, BCD는 이러한 코드 중 하나이다.? ... -3 코드의 성질에 따라 BCD로 변환된 뒤0011(2)이 더해진 결과가 출력될 것이다.4번 실험 결과 예상InputOutputE1I0I1I2I3I4I5I6I7A2A1A0GSE01xxxxxxxx11111011111111111100xxxxxxx0000010xxxxxx01001010xxxxx011010010xxxx0111011010xxx01111100010xx011111101010x01111111100100111111111101우선
    리포트 | 5페이지 | 1,000원 | 등록일 2021.07.20
  • 코드변환 설계
    코드를 3초과 코드로 변환의 원리BCD 코드를 3초과 코드로 변환할 때는 BCD가 숫자 10개만 사용하므로 1010~1111 6개는 BCD에 존재하지 않는 코드이며, 입력으로 사용될 ... 실험 제목① 2진 코드를 그레이 코드로 변환② 그레이 코드를 2진 코드로 변환③ BCD 코드를 2421 코드로 변환④ BCD 코드를 3초과 코드로 변환2. ... 실험 목적2진 코드를 그레이 코드로, 그레이 코드를 2진 코드로 변환하는 회로의 구조와 동작 원리를 이해하고BCD 코드를 2421 코드로, 3초과 코드로 변환하는 회로의 구조와 동작
    리포트 | 19페이지 | 1,500원 | 등록일 2020.11.16 | 수정일 2022.04.23
  • 논리회로설계실험 BCD가산기 레포트
    type으로 연산전에 바꾸어 줘야한다.(30행)두자리의 십진수가 BCD 수로 표현되어 더해지며, 그결과가 두자리를 초과하면 총 세자리의 합이 생성된다.(33행)(3) BCD to ... 더해지며, 그 결과 두자리를 초과하면 총 세자리의 합이 생성된다. ... 가산기를 만든다.2) 설계 목표입력 받은 2개의 2자리 10진수를 BCD 가산기로 받아서 계산하고, 계산 결과를 3자리 10진수의 형태로 7 segment를 통해서 출력하는 BCD
    리포트 | 14페이지 | 7,000원 | 등록일 2021.10.09
  • 논리회로실험 첫번째 프로젝트 BCD to 7segment 가산기 결과
    이때 각 자리수마다 더할 때 주의할 점이 있는데, 한 자리 수끼리의 계산은 2진법을 사용하되, 그 값이 9를 초과하면 bcd로 변환을 해줘야 한다.0110 - 6+ 1000 - 81110 ... 이렇게 bcd값을 10진법으로 변환하여 계산하면 sum의 자리 수 sum3, sum2, sum1에 해당되는 것을 알 수 있다. ... 이렇게 계산한 sum3, sum2, sum1이 7 segment를 통해 cathode방법으로 segment_3, s하게 된다면 segment_3은 bc의 단자만 불이 켜지게 되고,
    리포트 | 10페이지 | 1,500원 | 등록일 2021.10.01
  • [A+] 중앙대학교 아날로그및디지털회로설계실습 12차 예비보고서
    Decoder와 7-segment의 사이에 330 Ω 저항을 삽입하여 7-segment의 각 세그먼트 허용전류를 초과하지 않도록 하였다.※ Stopwatch의 정상 동작을 위해서는 ... LOAD 단자의 경우 카운터의 정상 동작을 위해 High에 연결하였다.BCD counter 74HC192의 QA, QB, QC, QD 출력을 BCD Decoder MC14511B 칩의 ... BCD counter 74HC192가 UP 카운터로 동작하기 위해 UP(CPU)에 clock, DOWN(CPD)에 VDC 5V를 인가하였다.
    리포트 | 6페이지 | 1,000원 | 등록일 2024.02.17
  • 디지털공학 레포트 모음
    ->3초과코드는 BCD코드 값에 3을 더해 준 값으로 나타내는 코드이다. ... 문자 데이터의 표현1) BCD코드->BCD코드는 2진화 10진 코드라고도 하며, 6비트로 구성되어 하나의 문자를 나타내므로, 모두 64 종류의 문자를 표현할 수 있다.2) 3초과코드 ... 그러나 입출력 코드로 사용하면 오류가 적다.10진수BCD코드3초과코드그레이코드0*************000101000001200100101001130011011000104010001110110501011000011160110100101017011110100100810001011110091001110011014
    리포트 | 98페이지 | 5,000원 | 등록일 2021.05.16
  • 확인학습 1-3 작성 과제
    확인학습1. 4비트 초과 코드표와 2의 보수 코드표를 만드시오10 진수BCD 코드3초과 코드2의 보수0*************000101001111200100101111030011011011014010001111100501011000101160110100110107011110101001810001011100091001110001112 ... (b, str2);printf("3. ... 다음 계산문제를 4비트 2의 보수표기로 바꾸어 계산하고 그 결과를 10진수 숫자로 쓰시오.① 4-6② 2+4③ -7+-3콘솔창 결과:프로그래밍한 소스:#includevoid Convert2sComplement
    리포트 | 3페이지 | 2,500원 | 등록일 2023.03.06
  • [디지털공학 실험] 멀티플렉서를 이용한 조합논리
    실험 방법무효 BCD-코드 감지기그림 8-3은 실험 3 그림 3-1의 시스템에 무효 BCD-코드 감지기를 추가한 알약 계수 제어 시스템을 보여주고 있다. ... 이 감지기는 실험 3에 나온 알약 계수 제어 시스템에 기능향상을 제공한다. 레지스터A에는 유효한 BCD 코드만이 존재하도록 하고 무효 BCD 코드가 감지되면 경고 신호가 나온다. ... 실험 목적-무효 BCD-Z코드 감지기에 대한 진리표 작성-Karnaugh 맵을 이용한 표현식의 간소화-간소화된 표현식을 구현하는 회로의 구성 및 시험-회로 내 결함에 의한 영향 예측3
    리포트 | 7페이지 | 1,000원 | 등록일 2021.06.20
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서5
    'D3' + D0'D1'D2'D3논리 회로도(3) 8421(BCD, Binary Coded Decimal, 2진화 10진수) code 및 Excess-3 code- 8421(BCD) ... code는 변환이 이루어진 8421(BCD) code에 3(0011)을 더해서 나타낸 코드이다. ... 입력 값을 바꿔가며 출력 값을 확인해본다.예상 결과: 이진수의 입력 값에 따라 이에 대응하는 십진수의 출력 값이 출력될 것이다. 9를 초과하면 계속 같은 결과가 나올 것이다.입 력출
    리포트 | 10페이지 | 1,000원 | 등록일 2021.10.24
  • D Flip-Flop을 활용한 십진 감가산기
    )하(1%)필요 게이트 대비초과 칩 수0+1+2브래드보드 개수1개 이하1개 이상2개 이상일정 계획 기한 준수(10%) :11.07 일 전까지 완성하면 상(10%)11.14 일 전까지 ... 이하10%정확한 값이 나오지 않는 경우가 3번 이하8%하정확한 값이 나오지 않는 경우가 3번 이상5%정확한 값이 나오지 않는 경우가 3번 이상5%가산 모듈%감산 모듈%상테스트 시트에서 ... to 7segment프로젝트 사용 부품입력 모듈74LS74 D FLIP-FLOP – 5개7447 BCD to 7-Segment & 7-Segment display 1개7404 NOT
    리포트 | 13페이지 | 3,500원 | 등록일 2022.05.01
  • (공공경제학) 소비자 잉여의 개념을 설명하고 가격이 상승할 때 소비자 잉여가 감소한다는 것을 수요곡선을 이용하여
    빗금친 bcd의 면적이라고 할 수 있다. ... 생산량이 일정수준을 초과하게 되면 총수입 감소로 인해서 한계수입이 (-)가 발생하게 된다. ... 자연독점 하에서의 가격정책에는 한계비용가격정책과 평균비용가격정책이 있다.한계비용가격정책은 효율적인 가격과 생산량인 P3와 Q3를 선택하는 정책이라고 할 수 있다.
    방송통신대 | 10페이지 | 3,000원 | 등록일 2022.03.10
  • 전자계산기기사 실기(필답형) 정리 노트2 (비전공자 90점 합격)
    시험자료 | 22페이지 | 2,000원 | 등록일 2022.11.19 | 수정일 2023.01.02
  • 컴퓨터일반 전자 계산기 구조
    코드BCD : zone 2 + digit 4 = 6bitASCII : zone 3 + digit 4 = 7bit , 데이터 통신, 전송제어문자EBCD: zone 4 + digit ... 1 ) ~ +( -1)- 2의보수: - () ~ +( -1)Overflow: 값이 초과되어 남아있는 값으로 부정확한 값이 되는 현상- 올림수와 최고비트 XOR, 같으면(XOR 0) ... 컴퓨터의 분류 - 세대별 발전1세대: HW 중심, 군사, 과학 계산용, ms2세대: SW 시작, 고급언어(코볼, 포트란), OS, μs3세대: IC (직접회로), ns4세대: 개인
    리포트 | 7페이지 | 2,000원 | 등록일 2020.11.21
  • 컴퓨터활용능력 1급 필기 - 1과목
    문자 표현 코드BCD코드· 총 6비트 구성· 총 64개의 문자 표현 가능ASCII코드· 총 7비트 구성· 총 128개의 문자 표현 가능· 데이터 전송용으로 주로 사용· 미국 표준 정보 ... 상자가 표시됨· 연결 프로그램을 삭제해도 연결된 파일은 삭제되지 않음④ 휴지통· 휴지통 안에 있는 파일이나 폴더는 복원 가능· 디스크 드라이브마다 따로 설정 가능· 휴지통 용량을 초과하면 ... MIME· IMAP3.
    시험자료 | 26페이지 | 2,000원 | 등록일 2024.04.25
  • 디지털 논리회로(생능출판, 김종현) 2단원 정리
    ; 3-초과 코드)- Stibitz코드라고도 부르는 3증수 코드는 BCD코드의 각 비트 패턴에 3(즉, 0011)을 더하여 표현- 정수 0에 대한 3증수 표현은 0011- 비트들이 ... )과 올림수(carry)를 얻을 수 있으며, 두 수가 모두 1일 때 올림수 발생함, 윗자리 수로 올림수(1)가 올라가서 그 수들과 함께 더해짐(10진 덧셈에서 두 수의 합이 9를 초과할 ... 10을 빌려오는 것과 같은 의미)xy차이빌림수0000011110101100[예제 2-9] 아래와 같은 10진수들 간의 뺄셈을 2진 뺄셈으로 수행하라.11 – 5 (2) 8 - 32.3.3
    시험자료 | 16페이지 | 3,000원 | 등록일 2020.11.09
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습4 [결과레포트]
    Reference (참고문헌) ‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥17BCD to Excess3 _ 8bit (3초과 부호) 코드를 Verilog cod로 설계하여 HBE_COMBO기기를 통해 ... ARABIC 7 4bit_ BCD to Exess3 결과이제 위에서 설계한 4bit_ BCD to Exess3를 이용하여, 8bit_ BCD to Exess3 code를 설계하겠다. ... Code는 아래 그림4와 같다.그림 SEQ 그림 \* ARABIC 4 4bit_ BCD to Exess3 code4bit_ BCD to Exess3를 구동하기 위한 TestBench
    리포트 | 19페이지 | 1,000원 | 등록일 2017.10.19
  • BCD to Excess-3 코드 가/감산기 설계 보고서
    작품설명두 개의 부호가 없는 BCD코드를 입력하면 입력된 BCD코드를 3초과 코드로 변환하여 LED로 표시하고, 변환된 3초과코드를 감산 또는 가산 하여 BCD 코드로 세그먼트에 출력하는 ... 수 이므로 7483 4비트 가산기를 이용하여 입력 받은 BCD 코드에 3 (0011)을 더해서 3초과 코드로 만들어 준다. ... 특히 변환된 3초과 코드를 연산한 값을 다시 BCD 코드로 변환하는 과정은 매우 힘들었다. 3초과코드의 숫자에 따라 계산방법이 다 달라져 간단한 회로로 구성하기 어렵기 때문이였다.
    리포트 | 12페이지 | 1,500원 | 등록일 2015.12.10
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 18일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:19 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기