• 통큰쿠폰이벤트-통합
  • 통합검색(6,907)
  • 리포트(6,593)
  • 자기소개서(254)
  • 시험자료(23)
  • 논문(20)
  • 방송통신대(10)
  • 이력서(3)
  • ppt테마(3)
  • 서식(1)

"디지털 회로 및 실험" 검색결과 41-60 / 6,907건

  • 디지털 회로 실험 설계 - NE555 Timer 발진회로 응용 실험 2
    디지털회로실험설계 결과 보고서 #9( NE555 Timer 발진회로 실험 )과 목담당교수제 출 일학 번이 름1. 회로도2. ... 실험결과 이론분석실험 (1) NE555 Timer 발진회로의 이해1) R1 = 10kΩ , R2 = 10kΩ 일때 출력주파수, 듀티비를 측정하시오.? ... 실험하며 측정했던 전류의 값도, 디지털 멀티미터에 표시된 계속해서 바뀌는 전류의 값에서 소수점 한 ~두자리까지만 보고 대략적인 값을 측정한 것이다.- 둘째로, 점퍼선에서도 저항은 존재한다는
    리포트 | 4페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 디지털 회로 실험 설계 - NE555 Timer 발진회로 응용 실험 1
    디지털회로실험설계 예비 보고서 #9( NE555 응용 실험 )과 목담당교수제 출 일학 번이 름1. ... 실험목표① NE555를 이용한 회로를 구성해본다.② NE555를 응용한 회로의 동작을 실험해본다.2. 관련이론? ... NE555의 핀번호 내부 회로도? 불안정 모드- 발진기로 작동한다.
    리포트 | 9페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 전기디지털회로실험 아두이노M3 예비보고서
    실험 순서(1) 보드의 적당한 아날로그 input 핀을 선정한 후 0~5V의 전압이 입력되도록 포텐셔미터를 이용한 회로를 구성한다.(2) 또한 추가로 적당한 디지털 output 핀을 ... 컴파일 업로드한 후 포텐셔미터를 조절해가며 그 결과를 확인한다.7-segment를 캐소드(음극)이라 설정하고 회로 시뮬레이션을 했다.int a=2;int b=3;int c=4; ... 실험 기기: 랩톱PC, 아두이노 우노 보드, 브레드보드, 전선, 포텐셔미터, 숫자표시기4.
    리포트 | 14페이지 | 1,000원 | 등록일 2021.03.20 | 수정일 2021.09.03
  • (기초회로 디지털실험) 16진 동기 비동기 카운터 설계
    동작 검증을 위한 실험 방법 절차를 만든다. ... 구분실 험 제 목설계316진 동기 비동기 카운터실험 조건TTL IC(SN7400, SN7476, SN7490)를 이용하여 구현한다.설계 과정비동기 동기식 카운터의 구조와 동작원리를 ... [비동기식 카운터]실험을 하기 전에 MAXPLUS II 라는 프로그램을 사용하여 회로를 먼저 구성한다.
    리포트 | 7페이지 | 1,500원 | 등록일 2021.07.13 | 수정일 2022.02.16
  • 실험 M2 아날로그 디지털 기초 회로 응용 결과보고서
    전기 디지털 회로실험실험 M2 아날로그 디지털 기초 회로 응용결과보고서담당교수 : 교수님학과 : 전기공학과학번 :이름 :실험실험 M2 아날로그 디지털 기초 회로 응용개요기존에 ... 수행했던 아날로그 디지털 기초 회로의 동작을 아두이노를 이용해 되풀이 해보고, 패키지 소자들을 이용해 하드웨어 수작업으로 구현했던 과거 회로와 비교하여 어떤 부분이 어떻게 프로그램으로 ... 대체 가능한지 학습한다.실험순서에 따른 실험결과키르히호프의 전압법칙 결합법칙“직류회로에서의 측정 실험”의 [그림 2] 회로를 브레드보드에 구성하고, V1 전원의 양극에는 아두이노
    리포트 | 18페이지 | 1,000원 | 등록일 2022.10.31
  • 디지털 회로 실험 설계 - JK Flip Flop, D, T Flip Flop 실험 1
    디지털회로실험설계 예비 보고서 #3( JK Flip-Flop 실험, D, T Flip-Flop 실험 )과 목담당교수제 출 일학 번이 름1. ... PSpice 시뮬레이션 회로 결과? ... D 플립플롭- 플립플롭(Flip Flop)은 전원이 공급되면 1 또는 0의 출력이 유지되는 디지털 회로이다.
    리포트 | 14페이지 | 3,000원 | 등록일 2023.09.22
  • 디지털 회로 실험 설계 - 기본 논리 게이트(Gate) TTL, CMOS I.F 실험 2
    디지털회로실험설계 결과 보고서 #1( 기본 논리 Gate TTL, CMOS I/F 실험 )과 목담당교수제 출 일학 번이 름1. ... 회로도, 이론값, 실험결과실험 1) 전압 Level 측정실험 : 입력전압 변화에 따른 출력전압의 상태를 측정하고 기록하시오.이론값)입력전압0.0V0.5V1.0V1.5V2.0V2.5V3.0V3.5V4.0V4.5V5.0V출력전압4.4V4.4V3V0V0V0V0V0V0V0V0V논리레벨HHLLLLLLLLL실험결과 ... 실험하며 측정했던 전류의 값도, 디지털 멀티미터에 표시된 계속해서 바뀌는 전류의 값에서 소수점 한 ~두자리까지만 보고 대략적인 값을 측정한 것이다.- 둘째로, 점퍼선에서도 저항은 존재한다는
    리포트 | 14페이지 | 3,000원 | 등록일 2023.09.22
  • 디지털 회로 실험 설계 - JK Flip Flop, D, T Flip Flop 실험 2
    디지털회로실험설계 결과 보고서 #3( JK Flip-Flop 실험, D, T Flip-Flop 실험 )과 목담당교수제 출 일학 번이 름? ... 회로도, 이론값, 실험결과, 결과분석실험1) 다음 회로를 구성하고, 표를 완성하시오.- 이론값JKQQ'0*************101101- 실험결과J=0, K=1, Q'=1 J=0 ... 실험하며 측정했던 전류의 값도, 디지털 멀티미터에 표시된 계속해서 바뀌는 전류의 값에서 소수점 한 ~두자리까지만 보고 대략적인 값을 측정한 것이다.- 둘째로, 점퍼선에서도 저항은 존재한다는
    리포트 | 15페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 디지털 논리회로 - 이론 실험 (생능출판), 3장 연습문제
    입력 A로 그림 3-43과 같은 파형을 가진 신호가 인가된다고 할 때, B, C D의 파형을 각각 구하라.
    시험자료 | 8페이지 | 2,500원 | 등록일 2022.11.11
  • 디지털 논리회로 - 이론 실험 (생능출판), 4장 연습문제
    4.9 앞면과 뒷면을 가진 동전 세 개에 각각 논리 변수 A, B C를 지정하기로 하자.
    시험자료 | 8페이지 | 2,500원 | 등록일 2022.11.11
  • 디지털 논리회로 - 이론 실험 (생능출판), 5장 연습문제
    세 개의 동전들을 모두 한 번에 던져서 그들 중에 두 개 이상이 앞면이 나오면 출력(F)이 ‘1’이 되어 램프가 켜지게 하는 회로를 설계하고자 한다. (1) 진리표를 작성하라.
    시험자료 | 13페이지 | 2,500원 | 등록일 2022.11.11
  • 디지털 회로 실험 설계 - 74LS47 Driver를 이용한 7-Segment 실험 2
    디지털회로실험설계 결과 보고서 #5( 74LS47 Driver를 이용한 7-Segment 구동 실험 )과 목담당교수제 출 일학 번이 름? ... 회로 멀티심? 실험 사진실험 3)? 회로 멀티심? 실험 사진※ 결과분석? ... 회로도, 이론값, 실험결과, 결과분석실험1)?
    리포트 | 8페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 디지털 회로 실험 설계 - 74LS47 Driver를 이용한 7-Segment 실험 1
    디지털회로실험설계 예비 보고서 #5( 74LS47 Driver를 이용한 7-Segment 구동 실험 )과 목담당교수제 출 일학 번이 름1. ... PSpice 시뮬레이션 회로 결과실험1) Display의 기본 소자인 LED 구동방식과 디지털소자에 대한 이해실험절차 1) Sink, Source 구동방식의 위 회로 2개를 구성한다 ... 회로실험한다.2.
    리포트 | 13페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 디지털 회로 실험 설계 - 74LS192를 이용한 Up-Down Counter 실험 1
    디지털회로실험설계 예비 보고서 #6( 74LS192를 이용한 Up/Down Counter 실험 )과 목담당교수제 출 일학 번이 름1. ... Multisim 시뮬레이션 회로 결과실험 1)시뮬레이션 결과- 아무것도 나타나지 않는다.- 74LS47의 4번 핀과 5번 핀이 VCC에 연결되어 있지 않고, 독립적으로 연결되어 ... 실험목표① 7-segment의 구조를 살펴보고, 동작을 실험한다.② 74LS192의 구조를 살펴보고, 동작을 실험한다.③ 74LS74의 구조를 살펴보고, 동작을 실험한다.2.
    리포트 | 15페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 디지털 회로 실험 설계 - 74LS192를 이용한 Up-Down Counter 실험 2
    디지털회로실험설계 결과 보고서 #6( 74LS192를 이용한 Up/Down Counter 실험 )과 목담당교수제 출 일학 번이 름? ... 회로도, 이론값, 실험결과, 결과분석실험1) 회로도? ... 업 카운터0 1 23 4 56 7 89실험 4) 회로도 (채터링 방지 회로도)?
    리포트 | 8페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 디지털 회로 실험 설계 - 부울대수와 카르노맵, RS Flip Flop 실험 2
    디지털회로실험설계 결과 보고서 #2( 부울대수와 카르노맵, RS Flip-Flop 실험 )과 목담당교수제 출 일학 번이 름1. ... 회로도, 이론값, 실험결과실험 1) 다음 회로를 시뮬레이션하고 표를 완성하라. ... 실험하며 측정했던 전류의 값도, 디지털 멀티미터에 표시된 계속해서 바뀌는 전류의 값에서 소수점 한 ~두자리까지만 보고 대략적인 값을 측정한 것이다.- 둘째로, 점퍼선에서도 저항은 존재한다는
    리포트 | 14페이지 | 3,000원 | 등록일 2023.09.22
  • 디지털 회로 실험 설계 - 부울대수와 카르노맵, RS Flip Flop 실험 1
    디지털회로실험설계 예비 보고서 #2( 부울대수와 카르노맵, RS Flip-Flop 실험 )과 목담당교수제 출 일학 번이 름1. ... PSpice 시뮬레이션 회로 결과- 부울대수와 카르노맵 실험ABCXY0*************00110010000101111101111111- RS Flip-Flop 실험 (NOR게이트 ... 플립플롭- 플립플롭은 전원이 공급되면 1 또는 0의 출력이 유지되는 디지털 회로이다.
    리포트 | 10페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 디지털 논리회로 - 이론 실험 (생능출판 김종현), 2장 연습문제
    리포트 | 12페이지 | 2,000원 | 등록일 2022.10.08
  • 디지털 논리회로 - 이론 실험 (생능출판 김종현), 1장 연습문제
    리포트 | 4페이지 | 2,000원 | 등록일 2022.10.08
  • [A+, 에리카] 2021-1학기 논리설계실험 디지털IC 개요, 조합논리회로 실험결과보고서
    특히 논리적이고, 계산이 가능한 쉬운 모델 로 설계가 용이하기에 아날로그보다 디지털을 이용하여 대부분의 설계가 이루어짐을 알 수 있다.  회로의 종류 - 논리회로 : 논리 게이트를 ...  아날로그와 디지털의 가장 큰 차이점 아날로그는 연속적인 값이지만 디지털은 불연속적인 값이다. 따라서 디지털은 아날로그에 비해 장점이 많다. ... 이용하여 구성된 회로이다. - 조합논리회로 : 오로지 입력에 의해서만 출력이 결정되며 따로 메모리를 갖고 있지 않은 회로이다. - 순차논리회로 : 입력과 현재의 상태에 의해 출력이
    리포트 | 11페이지 | 2,500원 | 등록일 2023.02.28
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:14 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대