• 통큰쿠폰이벤트-통합
  • 통합검색(6,907)
  • 리포트(6,593)
  • 자기소개서(254)
  • 시험자료(23)
  • 논문(20)
  • 방송통신대(10)
  • 이력서(3)
  • ppt테마(3)
  • 서식(1)

"디지털 회로 및 실험" 검색결과 81-100 / 6,907건

  • 디지털 시스템 실험-릴레이 동작원리 이해 논리회로 구성
    과 목 : 디지털 시스템 실험릴레이 동작원리 이해 논리회로 구성(experiment 2)목적릴레이의 동작 원리를 이해하고, 릴레이를 이용하여 논리 회로를 구성한다.AND 게이트 ... 통해 AND, OR 게이트의 특성을 익혔고, 이번 실험은 relay라는 스위칭 역할을 하는 회로를 통해 AND, OR게이트의 적용 실험이었다. ... 이로서 릴레이를 직렬 연결하여 AND게이트를 구현했고, 병렬연결을 통해 OR 게이트를 구현했다.실험자체는 복잡하지 않은 실험 이었으나, relay 핀 번호가 순차적이지 않아 회로
    리포트 | 3페이지 | 1,000원 | 등록일 2009.06.29
  • [디지털 회로 이론 실험] 디지털 논리회로 실험 이론 예비 레포트 모음
    ∎Switch의 개념을 공부한다.§ 실험 이론 디지털 논리는 단지 두 개의 조건만을 취급한다 : 논리 “1” 또는 논리 “0”. ... § 실험 목적∎AND, OR 논리의 진리표를 결정한다.∎Switch(릴레이)의 직렬, 병렬 연결로 AND, OR 논리를 구성한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2005.07.12
  • [디지털 논리회로 실험]부울대수 조합논리회로 실험
    실험 3. 부울대수 조합논리회로 실험주 제 : 부울대수의 공리 정리를 익힌다.조합논리회로를 설계함에 있어 필요한 여러 가지 방법을 익힌다.관련이론1. 부울대수? ... 컴퓨터는 디지털 회로로 구성된 디지털 시스템으로 참(true)과 거짓(false), 또는 1과 0, 전기 신호의 유무 등 두 가지 상태로 표현하여 처리하는 이진 논리회로로 구성되고, ... 이러한 이진 논리회로는 부울대수식으로 관계를 표현하기 때문에 회로의 동작 원리를 나타내는 부울대수에 관한 이해가 있어야 한다.?
    리포트 | 4페이지 | 1,000원 | 등록일 2006.05.12
  • 디지털논리회로실험 장비사용법 시뮬레이션 툴 사용법
    제 목 : 장비 사용법 시뮬레이션 툴 사용법2. 실험목적 : 실험실의 각종 측정장비의 명칭과 기능 사용법을 이해한다.3. ... 따라서, 이 경우에는 다이 오드를 통해 부하에 전원을 공급한다.(2) 논리실험장치 - 디지털 논리눈 논리값 ‘0’, ‘1’ 중 어느 하나에 할당시켜 실세계를 표현한다. ... 주파수 응답 해석 등의 전기, 전자 회로에 대한 복잡하고 다양한 해석이 가능하고, 저항, 콘덴서, 인덕터 등의 수동소자와 다이오드, Tr, FET등 능동소자에 대한 모델을 자료화함으로써
    리포트 | 2페이지 | 1,000원 | 등록일 2007.11.21
  • 디지털 논리회로 실험, 부울법칙 드모르간, 글리치, 해저드, half/full adder 실험 예비 보고서
    A+1=1⑤ 부울 변수 보수연산ⅰ. A∙A=0ⅱ. A+A=Aⅲ. A∙A’=0ⅳ. A+A’=1⑥ 논리적 등식ⅰ. A’’=Aⅱ. A+A’B=A+Bⅲ. ... 실험목표① 부울 법칙에 대해 이해한다.② 드모르간의 정리에 대해 이해한다.③ 부울법칙과 드모르간의 정리를 Verilog를 이용해 시뮬레이션 한다.④ 글리치와 해저드의 개념을 이해한다 ... 해저드의 2가지 문제는 이전 회로의 출력이 안정화되기 전에 다음 회로에서 사용하는 경우와 비동기 입력에 연결된 경우 발생한다.
    리포트 | 5페이지 | 1,500원 | 등록일 2009.07.18
  • 디지털 논리회로 실험, 부울법칙 드모르간, 글리치, 해저드, half/full adder 실험 결과 보고서
    Dynamic Hazard 발생 회로 그림, 코드, 회로그림Ⅰ 결과분석 고찰4단원의 실험에서는 부울 법칙과 드모르간 정리가 성립함을 관찰하였다. ... 있는 회로를 관찰하는 것이었다. ... Hazard 발생 실험2. Hazard 제거한 실험3.
    리포트 | 3페이지 | 1,000원 | 등록일 2009.07.18
  • [디지털논리회로설계실험]디지털 논리회로 설계실험 예비보고서 6장 대소 비교 회로 다중 출력 회로
    실험 목적대소 비교 회로, 일치회로 다중 출력 회로를 설계하여, 각 회로의 구성 동작 특성을 실험을 통하여 이해하며 학습한다.⑵ 관련 이론 2.1 대소 비교 회로대소 비교 ... 결과를 출력하는 1bit 2진 비교기의 진리표 논리회로는 [그림 6-1]과 같다. ... 일치회로라 한다. 2입력 일치회로의 진리표 논리회로는 [그림 6-2]와 같다.다중출력 기능을 하는 회로로서 이진 자승기(Binary Square Table Generator),
    리포트 | 10페이지 | 1,500원 | 등록일 2005.03.30
  • [디지털논리회로실험] 반가산기 전가산기
    실험 4. 반가산기 전가산기1. 실험목적반가산기와 전가산기의 설계를 통해 조합논리 회로의 설계방법을 공부한다.설계된 회로의 기능측정2. ... Reference HYPERLINK "http://web.edunet4u.net/~jaehyunk/" http://web.edunet4u.net/~jaehyunk/디지털 공학 (상조사 ... 사용부품 계기직류전원장치(5V, 1A)오실로스코프 또는 멀티미터(Dual, 10MHz)SPDT 스위치(2개)74LS08 (Quad 2 Input AND GATE)(1개)74LS32
    리포트 | 4페이지 | 1,000원 | 등록일 2005.05.21
  • [디지털회로 실험] 가산기 실험보고서
    [실험]1. 그림 4.1의 회로를 구성하고, 측정된 전압을 표 4.3에 기입하시오.2. 그림 4.3의 회로를 구성하고 측정된 전압을 표 4.4에 기입하시오.3. ... 전가산기(Full Adder)A, B 두 입력 외에 앞단으로부터 1개의 자리올림수도 동시에 가산을 행할 수 있는 회로를 전가산기회로라 한다. ... 그림 4.4의 회로를 구성하고, 출력된 전압을 표 4.5에 기입하시오.4. 그림 4.2의 회로를 구성하고, 출력전압을 표 4.6에 기입하시오.5.
    리포트 | 6페이지 | 1,000원 | 등록일 2002.05.29
  • [디지털논리회로설계실험]디지털 논리회로 설계실험 예비보고서 8장 병렬가산기 감산기
    사용 기자재 부품? 논리실험기 (Digital Logic Lab. Unit)? 7408 (4조 2입력 AND Gate)? 7432 (4조 2입력 OR Gate)? ... 제 8장 병렬 가산기 감산기? 실험 목적MSI/LSI 칩들의 기능을 직접 수행해보고, 이들 침을 이용한 여러 연산회로를 구성하여 그들의 동작원리를 실습을 통하여 이해한다.? ... 실험 순서4-1. 4 bit 2진 병렬 가산기① 4 bit 2진수 2개 ()를 가산하는 회로인 4 bit 2진 병렬 가산기 7483 칩 하나를 브레드보드에 장착하여 [그림 8-6]과
    리포트 | 7페이지 | 1,500원 | 등록일 2005.03.30
  • 디지털 시계 회로 제작 보고서
    전기전자 기초실험 설계Term Project 보고서디지털 시계 회로 제작1. 실험 주제디지털 논리 회로를 이용한 디지털 시계 제작2. 실험 원리그림 1. ... 디지털 시계 회로그림 1은 우리 조가 설계한 디지털 시계의 회로도이다. 이 회로를 구성하기 위해서는 ? 하부의 발진회로 분주회로와, ? ... 발진회로? 발진회로 분주회로발진회로디지털 시계에 안정적인 클록을 제공할 목적으로 설계되는 회로다. 우리 조는 RC 발진회로를 이용하였다(그림 2).
    리포트 | 6페이지 | 1,000원 | 등록일 2021.05.27
  • (A+자료) 디지털실험 텀프로젝트 PvP 두더지잡기 게임 구현 작품
    Term Project 보고서두더지 잡기디지털회로실험설계목 차1. 주제, 팀원, 역할분담 / 동기 목적2. 관련 이론 / 사용한 부품3. ... 회로도 설명 / 시뮬레이션 실험결과 분석5. 실험사진, 동영상6. 결론 고찰주제두더지 잡기전원 버튼을 누르면 LED가 켜지며 1분동안 게임을 진행할 수 있다. ... 설명 / 시뮬레이션 실험 결과 분석게임시간 타이머 파트게임시작과 게임종료를 수행해주는 회로이며 NE555의 Monostable mode를 이용한 회로이다.스위치를 누르면 일정기간
    리포트 | 20페이지 | 5,000원 | 등록일 2023.01.09 | 수정일 2023.03.07
  • 기초전자실험 with PSpice_예비레포트_1.저항, 2.전압과 전류. 3.PSpice를 이용한 회로시뮬레이션
    실험 목적1) 저항의 특성과 종류를 익힌다2) 컬러코드 이를 이용한 저항값 읽기를 연습한다3) 디지털 멀티미터를 이용하여 저항값을 측정한다.3. ... 실험 장비-디지털 멀티미터-저항-가변 저항4. ... 관련 이론 1) 저항저항은 회로에서 전류의 흐름을 억제하는 부품으로, 전류의 흐름을 방해함으로 신호의 크기를 줄이거나 전압을 나누는 역할을 하며 옴을 단위로 사용한다.
    리포트 | 12페이지 | 1,000원 | 등록일 2021.06.20
  • 기초전자실험 with PSpice 예비레포트 10.중첩의 원리, 11.최대전력 전달조건
    실험 장비-디지털 멀티미터, 직류전원 공급 장치, 브레드보드, 저항4. 관련 이론일반적인 회로는 옴의 법칙과 키르히호프의 법칙으로 전압과 전류를 쉽게 구할 수 있다. ... 실험 장비-디지털 멀티미터, 직류전원 공급 장치, 브레드보드, 저항, 가변저항4. 관련 이론교류 또는 직류전원은 회로가 동작할 수 있도록 전압과 전류를 공급하는 에너지원이다. ... 이때 중요한 역할을 하는 것이 중첩의 원리다.중첩의 원리는 ‘전원이 2개 이상인 선형회로에서 어떤 부품의 전압과 전류는 전원을 1개씩 동작시킬 때 나타나는 전압 전류의 합이다’로
    리포트 | 7페이지 | 1,000원 | 등록일 2021.06.20
  • [A+] 중앙대학교 아날로그및디지털회로설계실습 10차 예비보고서
    아날로그 디지털 회로 설계 실습예비보고서설계실습 10. 7-segment / Decoder 회로 설계소속전자전기공학부학수번호실험 조x조조원 이름작성자실험날짜2023.11.23제출날짜2023.11.231 ... 실습 목적7-segment 와 Decoder를 이해하고 관련 회로를 설계한다.2. ... 회로의 출력은 10진수 방식을 사용하는 7-segment LED에 사용하기 위해 Decoder가 필요하다.
    리포트 | 9페이지 | 1,000원 | 등록일 2024.02.17
  • [A+] 중앙대학교 아날로그및디지털회로설계실습 9차 결과보고서
    아날로그 디지털 회로 설계 실습결과보고서설계실습 9. 4-bit Adder 회로 설계소속중앙대학교 창의ICT공과대학 전자전기공학부학수번호실험 조x조조원 이름작성자실험날짜2023.11.16제출날짜2023.11.234 ... 설계한 전가산기 회로의 구현 (2-level 로직 회로)설계실습계획서에서 그린 2-단계 전가산기 회로를 토글 스위치와 LED를 추가하여 설계 구현하여라. ... 설계 실습 내용 분석4-4-1.
    리포트 | 7페이지 | 1,000원 | 등록일 2024.02.17
  • [A+] 중앙대학교 아날로그및디지털회로설계실습 12차 예비보고서
    아날로그 디지털 회로 설계 실습예비보고서설계실습 12. ... 실습 목적Stopwatch 설계를 통하여 카운터, 분주회로, 클럭 회로, 디코더 등 다양한 디지털 회로 구성요소에 대한 이해를 높이고 Datasheet 를 읽고 분석하는 능력과 원하는 ... 기본적인 클럭 생성 회로 카운터 회로 테스트1Hz의 Clock 신호를 만들기 위해 주기가 1초인 pulse 입력을 인가하였다.
    리포트 | 6페이지 | 1,000원 | 등록일 2024.02.17
  • [A+] 중앙대학교 아날로그및디지털회로설계실습 1차 예비보고서
    아날로그 디지털 회로 설계 실습예비보고서설계실습 1. ... 초전형(Pyroelectric) 적외선 센서소속전자전기공학부학수번호실험 조조조원 이름작성자실험날짜2023.09.14제출날짜2023.09.141. ... 결과, 와 같이 고주파 영역의 신호만 통과시키는 High-Pass Filter임을 관찰할 수 있었다.또한 와 같이 measurement 기능을 이용해 3dB-frequency가 실험
    리포트 | 7페이지 | 1,000원 | 등록일 2024.02.17
  • [A+] 중앙대학교 아날로그및디지털회로설계실습 1차 결과보고서
    아날로그 디지털 회로 설계 실습결과보고서소속전자전기공학부학수번호xxxxx-xx실험 조x조조원 이름xxx, xxx, xxx학번20xxxxxx, 20xxxxxx , 20xxxxxx실험날짜2023.09 ... 설계실습내용 분석4.1 단일 Current Mirror 구현 측정 Current source (A) Power Supply를 연결하지 않은 그림 1의 회로를 3.1(D)에서 ... (수치를 포함하여 요약한다.)단일 Current Mirror와 Cascode Current Mirror를 직접 설계한 뒤에 DMM을 사용하여 설계한 회로의 , , , 를 측정 기록하였다.각
    리포트 | 8페이지 | 1,000원 | 등록일 2024.02.17
  • [A+] 중앙대학교 아날로그및디지털회로설계실습 2차 예비보고서
    아날로그 디지털 회로 설계 실습예비보고서설계실습 2. ... Switching Mode Power Supply (SMPS)소속전자전기공학부학수번호실험 조x조조원 이름작성자실험날짜2023.09.21제출날짜2023.09.211. ... , 5%저항 5.1kΩ, 1/2W, 5%저항 10Ω, 1/2W, 5%가변저항 10kΩDiode SB540Inverter 74HC041개2개2개2개2개1개5개2개2개2개1개사용장비
    리포트 | 7페이지 | 1,000원 | 등록일 2024.02.17
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:13 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대