• 통큰쿠폰이벤트-통합
  • 통합검색(3,522)
  • 리포트(3,349)
  • 시험자료(85)
  • 방송통신대(44)
  • 논문(27)
  • 자기소개서(16)
  • ppt테마(1)

"로클럭" 검색결과 41-60 / 3,522건

  • [텍사스인스트루먼트코리아 Field Applications Engineer 합격 자기소개서] 자기소개서 자소서, 자기소개서, 자소서, 합격자기소개서, 합격 자기소개서, 합격자소서, 합격자기소개서,합격자소서,기업 자기소개서, 기업 자소서, 기업자기소개서, 기업자소서, 취업 자소서, 취업 자기소개서, 면접 자소서, 면접 자기소개서, 이력서
    서로 같은 클럭을 가지고 설계하다보니 모듈을 합칠 때 입력 클럭과 게임 구동 클럭이 겹쳐 입력이 인식되지 못하는 문제가 계속 발생하였습니다. ... 결과적으로 클럭을 1MHz와 100Hz 두 개로 분리하여 뱀의 위치는 큰 주파수를 이용하고 이동키 입력은 작은 주파수를 이용했습니다.프로젝트 제출 전날까지 함께 밤을 새며 결국 클럭이 ... 토론 끝에 게임 규칙에 관한 모듈의 클럭을 1MHz로 변환하기로 하였고, 이는 사람이 버튼을 누를 때 잘 인식시키기 위해서였습니다.
    자기소개서 | 12페이지 | 3,000원 | 등록일 2023.02.11
  • 시립대 전전설2 A+ 6주차 예비레포트
    , LED는 맨 아래 Hz에 불빛이 켜지도록)6) 실습 6카운터의 개념을 이용하여 메인 클럭 1Hz 신호를 받아서 2분주, 4분주 클럭을 생성하여 출력하시오. ... (시뮬레이션, 장비동작)(설계 힌트) 메인 클럭을 받아서 cnt 값을 count up 하면서, 적당한 값이 되면 분주 클럭을 변화(rising 또는 falliing)하고 동시에 cnt ... = 0으로 초기화하여 다시 count up하는 방법을 사용할 수 있음.출력메인클럭 1Hz: LED1에 깜박임으로 나타나도록2분주 클럭 (1/2)Hz: LED2에 깜박임으로 나타나도록4분주
    리포트 | 30페이지 | 2,000원 | 등록일 2024.09.08
  • 1. 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오
    두 번째는 동기식 카운터로 입력 클럭 펄스가 각단 클럭 값을 동시에 동기화하는 방식으로 순차회로에 따른 설계 절차를 지닐 수 있다.동기식 카운터 회로는 사용한 모든 플립플롭의 클럭 ... 하지만 비동기식 카운터 회로는 첫 번째 플립플롭 클럭 단자는 클럭에 연결되어 있지만 두 번째 이후의 플립플롭 클럭 단자는 오른쪽에 있는 플립플롭 반전 출력단자에 연결되어 있기에 플립플롭의 ... 단자가 한 가지의 공통 클럭 입력 클럭에 연결되어 있기에 동기식 회로에서는 모든 플립플롭이 같은 시간에 자기 상태를 변화하도록 한다.
    리포트 | 5페이지 | 2,000원 | 등록일 2024.09.09
  • 건국대학교 전기전자기초실험1 14주차 예비보고서 A+
    클럭 신호는 통상적으로 구형파를 이용하며 일어나는 구형파의 변화 시점에 따라 상승 엣지 클럭, 하강 엣지 클럭으로 분류할 수 있다. ... 상승 엣지 클럭은 구형파의 신호가 0에서 1로 상승하는 시점에 회로가 동기화되어 입력 신호에 대해 출력신호가 변화하도록 하는 클럭을 말한다. ... 하강 엣지 클럭은 반대로 구형파의 신호가 1에서 0으로 하강하는 시점에 회로가 동기화되어 출력 신호가 변화하도록 하는 클럭을 말한다.(2) SR 플립플롭의 회로도, 출력신호의 논리식
    리포트 | 9페이지 | 5,000원 | 등록일 2024.04.14 | 수정일 2024.04.22
  • [건국대학교 전기전자기초실험1 A+][2024 Ver] 15주차 - 예비레포트
    클럭 신호는 통상적으로 구형파를 이용하며 일어나는 구형파의 변화 시점에 따라 상승 엣지 클럭, 하강 엣지 클럭으로 분류할 수 있다. ... 플립플롭은 클럭 신호에 맞춰 출력값의 변화가 일어나도록 설계하며 이를 ‘클럭 동기화’라고 한다. ... 상승 엣지 클럭은 구형파의 신호가 0에서 1로 상승하는 시점에 회로가 동기화되어 입력 신호에 대해 출력신호가 변화하도록 하는 클럭을 말한다.
    리포트 | 8페이지 | 5,000원 | 등록일 2024.08.10 | 수정일 2024.08.19
  • 온라인 마케팅 전략 수립은 세분화(Segmentation), 목표설정(Targeting), 포지셔닝(Positioning)으로 시작된다. 국내에서 온라인 마케팅에 성공한 기업의 사례를 1가지만 찾아서 어떻게
    기존의 마사지 장치와는 달리, 그 클럭은 특정 장치에 앉거나 자리를 할애하지 않아도 되는 제품이다. ... 마사지를 받고 싶은 부위에 클럭을 올려놓고 스위치를 올리면 저주파를 이용해 뭉쳐진 근육을 풀어준다. ... 병원에서 받을 수 있는 물리치료기와 매우 유사한 원리이지만 크기가 작다고 물리치료기로 힘이 밀리는 것은 아클럭.
    리포트 | 4페이지 | 3,000원 | 등록일 2024.07.18
  • [마이크로컨트롤러]7th_8비트타이머카운터
    0 0 0 타이머 / 카운터 2 정지 ( 클럭 입력 차단 ) 0 0 1 클럭 소스 /1 0 1 0 클럭 소스 /8 0 1 1 클럭 소스 /64 1 0 0 클럭 소스 /256 1 0 ... 외부에서 입력되는 클럭을 카운팅 - 외부 입력 클럭은 주기가 불규칙할 수 있기 때문에 클럭의 개수만을 카운트하게 됨 타이머 / 카운터의 동작 [ 참고 ] 주파수와 주기와의 관계 - ... 1 클럭 소스 /1024 1 1 0 외부 클럭 T2, 하강에지 1 1 1 외부 클럭 T2, 상승에지 강제출력설정 파형발생모드설정 OC2 핀 PWM 출력파형설정 파형발생모드설정 분주비
    리포트 | 37페이지 | 1,500원 | 등록일 2022.10.10
  • [A+보고서] 회로실험 카운터 회로 예비보고서
    만약 비동기식 카운터에서 클럭펄스의 주파수가 높아지면 주기가 점점 짧아지게 되고, 클럭클럭 사이의 간격이 좁아져서 전파지연속도가 빨라지게 되지만 최대 클럭 주파수 이상으로 간격이 ... 비동기식 카운터- 첫 단의 플립플롭에 클럭신호가 인가되어, 이 첫 단 플립플롭의 출력이 다음 단의플립플롭을 트리거 시키도록 되어 있는 회로를 말하며, 클럭의 영향이 물결처럼후단으로 ... 예비 과제(1) 비동기식 카운터(Asynchronous counter)의 원리를 설명하라.- 클럭 펄스는 플립플롭 A의 CLK 입력에만 인가하고 플립플롭 A는 클럭 펄스의 매 하강
    리포트 | 8페이지 | 1,500원 | 등록일 2022.12.24
  • [A+보고서] 회로실험 쉬프터 레지스터 예비보고서
    또한, 직렬로 연결된 둘 이상의 플립플롭이 매 클럭 마다 n 단계의 출력은 n+1 단계로 이동되는 것을 말하 며, 클럭은 모든 플립플롭에 동시에 가해져, 데이터의 전송은 클럭에 동기된다 ... 이 조건을 만족 시키는 것이 플립플롭으로 정확하게 제어하기 위하여 클럭 신호를 도입하고 이에 동기되어 데이터가 메모리에 기억되거나 판독된다.③ Register : 공통 클럭 입력을 ... 클럭 펄스가 인가될 때마다 레지스터에 기억내용은 오른쪽으로 한 비트만큼 자리 옮김을 수행한다.
    리포트 | 7페이지 | 1,500원 | 등록일 2022.12.24
  • [A+] 디지털공학실험 JK 플립 플롭
    (J-K 펄스 트리거 플립 플롭의 클럭킹에 관한 관찰)PRE와 CLR 모두 동시에 1로 설정한다.TTL 레벨 펄스 발생기를 주파수 1Hz로 설정하고 클럭 입력에 연결한다.LED를 클럭 ... 관련 이론D 플립플롭 : D 플립플롭은 클럭의 액티브한 에지에서만 상태가 변경되는 에지-트리거 소자이다. 셋과 리셋만 가능하며 래치로 사용할 수 없다. ... 주파수가 일치하지 않음을 관찰한다.토글모드에서 출력의 듀티-사이클(duty cycle)과 클럭의 듀티 사이클이 어떻게 다른지 관찰한다.
    리포트 | 7페이지 | 2,000원 | 등록일 2023.11.08
  • 부산대학교 어드벤쳐디자인 4장 예비보고서
    클럭 신호는 자체적인 내부 클럭 디지털 회로에 의해 발생한다. ... 일반적으로 각 데이터 비트의 시간에 대해 16/64 배 빠른 클럭 신호를 이용하여 시작비트로부터 세어 각 비트의 경계를 찾아낸다. ... 디지털 회로는 자체의 클럭 신호를 추가로 사용하여 정해진 속도로 수신 데이터로부터 비트 구간을 구분하고 그 비트의 논리 상태를 결정하여 데이터 통신을 하는 USRT도 사용한다.가장
    리포트 | 5페이지 | 1,500원 | 등록일 2022.11.13
  • D 래치 및 D 플립-플롭, J-K 플립-플롭 예비레포트
    LED를 클럭 표시기로 그림 17-2(b)와 같이 연결하여 클럭 펄스와 출력을 동시에 관찰할 수 있도록 한다. ... 이 공통 펄스를 클럭(clock)이라 부른다. 출력의 상태 변화는 항상 클럭 펄스의 상승 또는 하강 에지(edge)에서만 일어난다. ... 이러한 플립-플롭에서는 데이터는 클럭의 리딩 에지(leading edge)에서 마스터에 입력되고 클럭의 트레일링 에지(trailing edge)에서 슬레이브에 입력된다.
    리포트 | 11페이지 | 1,000원 | 등록일 2022.10.09 | 수정일 2022.10.14
  • [논리회로실험] 실험7. Shift Register 예비보고서
    데이터가 좌우로 이동- n개의 플립플롭을 연결하여 n비트 레지스터를 구현- 클럭 신호에 따라 플립플롭의 데이터가 이동- 공통의 클럭을 입력하여 다음 상태로의 이동을 제어함- 4개의 ... 실험이론1) Shift Register와 n비트 레지스터- 시프트 레지스터는 일련의 연결된 플립플롭으로써 잠정적 데이터 저장 능력을 갖추도록 하여 클럭 펄스가 들어올 때마다 저장된 ... JK 플립플롭을 동시에 상승 펄스로 레지스터에 저장- Clear 신호는 클럭 신호가 enable 되기 전에 모든 레지스터를 0 상태로 만드는데 사용- 회로입력 1101이 클록 펄스에
    리포트 | 7페이지 | 1,500원 | 등록일 2023.05.27
  • 논리회로및실험 레포트
    클럭 제어부는 오 실레이터에서 나오는 값을 클럭 제어 스위치에 따라 16분주하여 FPGA 디바이스 모듈 로 전달하는 역할을 하고 있습니다.2) 동작장비에서 사용하는 클럭은 기본으로 ... 장비에서 필요한 클럭을 생성하고 있습니다. ... 따라서 클럭 제어 스위치를 조절하면 7-Segment에 현재 FPGA 디바이스 모듈로 공급되는 클럭 값이 표시되고 오른 쪽에 있는 LED를 통해 현재 주파수 대역을 확인할 수 있습니다.이
    리포트 | 15페이지 | 1,000원 | 등록일 2024.07.14
  • 정보통신기초 설계
    하지만 이때 D-FF 클럭 신호에 의해 Q를 출력하고 클럭 신호가 없을 때에는 동작하지 않는다. ... 이를 통해 출력 Q는 클럭이 0일 때에는 이전의 상태를 유지하고, D가 0에서 1혹은 1에서 0으로 바뀔 때 클럭이 1이 되면 즉, 클럭이 rising하면 이때의 D 값을 출력함을 ... 결론적으로 D-FF 클럭 신호가 없을 때에는 Q는 전 값을 유지하고 클럭 신호가 있을 때 D값을 출력한다. 이를 Verilog 코드로 나타낸 것은 다음과 같다.
    리포트 | 6페이지 | 1,000원 | 등록일 2022.03.21
  • [A+보고서] 회로실험 플립플롭의 기능 예비보고서
    하지만 이 두 개의 차이는 바로 클럭(Clock)의 유무이다. ... 클럭에 상관 없이 움직이는(데이터를 저장하는) 것은 래치(비동기식)라 하고, 클럭이 riding edge일 때만 데이터를 입력받는 것은 플립플롭(동기식)이라 한다.(1) 기본 flip-flop플립플롭 ... 클럭 신호가 1에서 0으로 떨어지는 순간 입력만이 출력에 전달된다.
    리포트 | 7페이지 | 1,500원 | 등록일 2022.12.24
  • 비동기 카운터, 동기 카운터 설계 결과레포트
    실험에서 사용한 J-K 플립-플롭은 클럭의 하강 에지에서 toggle 되도록 구성된 플립-플롭으로, 클럭의 하강 에지에 맞추어 QA의 값이 toggle되고, 마찬가지로 QB도 QA ... QB, QC 그리고 QD가 각각의 클럭에 맞추어 리플 카운터로 동작하는 것을 실험하였다. ... 클럭의 주파수가 1KHz였음에도 불구하고 QA와 QB의 주파수가 몇 ns로 측정된 것이다. 이후 다른 브레드보드에 회로를 구성하였더니 노이즈가 줄어들었다.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.10.09
  • 디지털공학개론 ) 1. JK 플립플롭을 이용하여 3비트 2진 카운터를 설계하는 과정을 나타내시오. 2. T 플립플롭을 이용하여 3비트 2진 카운터를 설계하는 과정을 나타내시오.
    즉 이는 클럭 입력이 존재하는 동기식 순서논리회로의 기본적인 소자이다. 이 플립플롭은 ‘클럭’ 입력과 ‘래치’ 소자로서 이루어져 있다. ... 동기식 순서논리회로로서 즉 Edge Trigger라고도 불리는 외부 클럭의 변화에만 상태가 변화한다. ... 플립플롭이 무엇인가.Flip-Flop은 클럭 입력을 가지는 2진 기억소자로서 쌍안정 회로라고 불리기도 한다.
    리포트 | 6페이지 | 5,000원 | 등록일 2023.01.27
  • 부산대 어드벤처디자인 실험11 A+ 예비보고서(계수기)
    이러한 접속에 의하여 첫 번째 소자에 입력된 클럭 펄스가 1개씩 증가할 때마다 Q출력이 0에서 1로 반전을 반복하기 때문에 첫 번째 소자부터 출력은 입력된 클럭펄스의 수를 이진수로 ... COUNT-DOWN 계수기에는 클럭이 not 게이트가 없습니다. 상승에지에서 카운터가 되며 숫자가 줄어드는 것을 볼 수 있습니다. ... D 플립플롭의 {\displaystyle {\bar {Q}}}출력을 D입력 및 다음 단의 클럭 입력에 연결해서 각 플립플롭에서 Q출력을 설계한 회로입니다.
    리포트 | 5페이지 | 1,500원 | 등록일 2022.04.09
  • 디지털 논리회로 실험 9주차 Shift Register 결과보고서
    클럭이 상승할 때마다(클럭 펄스가 들어올 때마다) 데이터가 왼쪽에서 받으므로 오른쪽으로 한 비트씩 이동한다. ... 클럭이 상승할 때마다(클럭 펄스가 들어올 때마다) 데이터가 왼쪽에서 받으므로 오른쪽으로 한 비트씩 이동한다. ... 클럭 펄스를 몇 번 주면 1111인 상태가 된다.
    리포트 | 9페이지 | 2,000원 | 등록일 2021.04.22
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:45 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대