• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,211)
  • 리포트(2,064)
  • 시험자료(68)
  • 자기소개서(39)
  • 방송통신대(20)
  • 서식(11)
  • 논문(8)
  • 기업보고서(1)

바로가기

부의 시그널 독후감 - 부의 시그널 관련 독후감 5건 제공

"부의 시그널" 검색결과 41-60 / 2,211건

  • (A+/이론/예상결과/고찰) 아주대 통신실험 결과보고서10
    detector는 overload가 감지되면 0V를 출력하게 되는데, 이것은 slope control의 output signal의 방향을 바꿔준다. ... Slope control system의 경우 각각의 bit마다 clock signal이 따로 필요하기 때문에 더 큰 clock rate를 필요로 하게 된다.5. ... 따라서 음의 기울기를 갖게 하고 integrator의 gain을 증가시켜 integrated signal의 기울기를 증가시키게 되어 overload를 방지하게 된다.5.
    리포트 | 21페이지 | 1,500원 | 등록일 2021.10.24
  • (A+/이론/예상결과/고찰) 아주대 통신실험 예비보고서결과보고서9
    -> 전체적으로 message signal과 reconstructed signal의 파형이 비슷하지만, 약간의 오차가 발생하여 완벽하게 같은 파형은 아니다.20. ... EOC signal은 Code word 파형을 Invert한 형태이다. 즉, 0과 1이 바뀌었다.11. ... -> 1000 0000의 SERIAL OUTPUT CODE WORD와 반전된 EOC signal을 관찰할 수 있다.
    리포트 | 15페이지 | 1,500원 | 등록일 2021.10.24
  • 아주대 전자회로실험 결과7 output stage 회로
    하지만 iE = 0.3mA로 실험보다는 낮은 전류이다.- signal operation(a)S에 100mV를 인가할 때1 : signal2: node A1 : signal2 : Vo ... operationS에 200mV를 인가할 때1 : signal2: node A1 : signal2 : Vo(node B)S to A 전압이득(VA/VS) : 205/209 = 0.98S ... 동료애: 동료와 협력자가 전문분야에서 발전하도록 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학부제출일
    리포트 | 13페이지 | 1,500원 | 등록일 2020.11.30
  • 성인간호학 척수손상 case study 간호과정 8개
    spinal cord injury, C5 bursting Fx C6 body longitudinal Fx, C4-6 SC signal change? ... spinal cord injury, C5 bursting Fx C6 body longitudinal Fx, C4-6 SC signal change? ... spinal cord injury, C5 bursting Fx C6 body longitudinal Fx, C4-6 SC signal change?
    리포트 | 6페이지 | 2,500원 | 등록일 2022.06.08
  • (A+/이론/예상결과/고찰) 아주대 통신실험 예비보고서3
    ▶ 예상결과 : channel 1의 Output Level을 Max쪽으로 변화시킬수록 AM signal의 크기도 커질 것이다.10) step 8, 9에서 얻은 결과가 그림 2-4와 ... AM 신호는 modulated signal이나 modulated carrier로 나타낸다.3) 실험기기- Accessories(8948)- Power supply- Dual Audio ... 변화 시키면 그에 따라서 AM signal의 RF waveform도 변화할 것이다.8) Dual Function Generator의 채널 A를 다시 사인파로 맞추고 주파수를 바꿔가며
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.24
  • 통신시스템: 7장 연습문제 풀이
    (a) Calculate the transmission bandwidth of the QPSK signal.QPSK변조의 전송대역폭은 다음과 같이 정의 된다.B_T = 2overT ... (a) Calculate the transmission bandwidth of the BFSK signal.Sunde's BFSK에서T_b = 1s일 때B_T}={3Hz이므로T_b ... (a) Find the transmission bandwidth of the transmitted signal.BASK 신호의 전송 대역폭은 다음과 같이 정의 된다.B_T = 2overT_bT_b는
    리포트 | 5페이지 | 1,000원 | 등록일 2019.11.09
  • 뇌혈류초음파검사메뉴얼
    보통 300ms 미만② signal의 amplitude가 적어도 3dB 이상이어야 한다.③ signal이 기계가 측정할 수 있는 dynamic range에 있다면 Doppler spectrum에서 ... DDx /c true emboli from artifact① signal이 일시적이어야한다. ... 원칙적으로는 Doppler signal이 관찰되지 않음.DDx; poor window, technical error?
    리포트 | 8페이지 | 1,500원 | 등록일 2021.02.22
  • 동흡진기를 이용한 진동 제어 실험
    실험 방법① 주진동계를 그의 고유주파수로 가진하여, 공진 현상을 확인하고 그 때의 주파수를 signal analyzer 를 통해 측정한다.② 동흡진기를 설치하고 시행 착오 방법으로, ... 그 때의 주파수를 signal analyzer 를 이용하여 측정한다.③ 주진동계 모델의 강성과 고유주파수를 계산한다.④ 동흡진기 모델의 보의 탄성 계수를 측정한다.⑤ 동흡진기를 설계한다 ... 따라서 보가 공진하는 정확한 rpm 설정이 어렵고 이에서 오차가 발생했을 것이다.2) 측정 시 발생하는 오차주파수 및 가속도 측정 시 부정확한 조건 및 사용하는 기기 자체에서의 오차가
    리포트 | 11페이지 | 3,500원 | 등록일 2023.04.25
  • (A+/이론/예상결과/고찰) 아주대 통신실험 결과보고서12
    Loame) of each type.->① MODULATOR signals- TD (TRANSMITTED DATA (TP1) ) : DIGITAL INTERFACE으로 부터 modem에 ... -bar{CTS} ``=` bar{CLEAR````TO````SEND} : This output은 TC signal이 안정한 상태에 있고 모뎀이 전송할 준비가 되었다는 것을 DTE에게 ... RD와 CD에 어떤 일이 일어나는지 설명한다.21.r{DATA````TERMINAL`````READY} : A control signal from the DTE.DTE가 데이터를 보낼
    리포트 | 21페이지 | 1,500원 | 등록일 2021.10.24
  • 통신공학실습 이** 7주차 레포트순위 1등
    그 결과 Vout+의 경우에는 message signal의 양수 쪽 성분을 이용해서 DSB modulation 된 것을 확인할 수 있고, Vout-의 경우에는 message signal의 ... 예비 레포트의 경우 matlab을 통해 message signal이 양수인 경우 Vout+가 변조가 조금 모자란 모습, message signal이 음수인 경우에는 Vout-가 변조가 ... 따라서 평형 변조기는 message signal과 carrier신호의 multiplier mixer로 볼 수 있다.[1-3] 반송파 억압 AM 변조의 특징을 다른 AM 변조방식과 비교
    리포트 | 11페이지 | 2,500원 | 등록일 2020.12.23 | 수정일 2021.12.02
  • [전자공학응용실험] 차동 증폭기 심화 실험 예비레포트
    달아둔 PMOS를 Current mirror 형태로 함으로서 출력에는 두 전류의 차가 전달이 된다.Small signal에서는 전류원을 접지하여 표현할 수 있다.이 때 트랜스컨덕턴스는 ... 원하는 Iss와 Vout,cm이 나오도록 동작점을 잡고 확인한다.- Iss의 전압을 바꾸면서 MOSFET에 흐르는 전류를 기록한다.- 사인파를 인가하여 주파수를 바꾸거나 small signal의 ... report question & Simulation results based on PSpice(1) 20V/V 이상의 차동 전압 이득 Ad와 200이상의 CMRR특성이 있는 능동부하
    리포트 | 5페이지 | 2,500원 | 등록일 2022.12.19
  • ROM&RAM 설계
    , variable, constant로 나눌 수 있다. signal은 VHDL 합성 시에 선으로 구현 가능한 전역 변수이고, variable은 연산의 단계에서 이용되는 지역 변수이다 ... ---------------------p.14Signal 과 Variable객체의 종류VHDL에서 값을 가지고 할당할 수 있는 것을 객체(object)라고 부르며, 객체의 종류는 signal ... 특히, 본 설계에서 사용하게 될 signal과 variable은 사용에 있어 구문이나, 특징에 있어 차이를 보이기 때문에 설계의 목적에 맞게 유의해서 사용해야 한다.Signal과 Variable의
    리포트 | 14페이지 | 1,500원 | 등록일 2020.10.05
  • 아주대학교 자동제어실험 3번 실험 / 초음파센서 및 기울기센서 / 과제보고서
    signal)Positive TTL level signal, width proportional to rangeSensor angleNot more than 15 degrees물체를 ... Echo or 2 Pin SRF04 compatibleInput Trigger (Input signal)10μs Min, TTL level pulseEcho Pules (Output ... 이들은 기본적으로 발광부에서 적외선을 방출하고 수광부에서 그 적외선을 감지하는 방식을 사용하는데, 여기에 광삼각법이 사용된다.
    리포트 | 3페이지 | 1,000원 | 등록일 2022.03.06
  • VHDL-1-가산기,감산기
    u0_carry : std_logic;signal u1_carry : std_logic;signal u2_carry : std_logic;beginu0 : fulladder_hdlport ... temp2: std_logic;signal temp3: std_logic;component Half_Substractor isport(X, Y : in std_logic; D, B ... std_logic);end Full_Substractor;architecture Structural of Full_Substractor issignal temp1: std_logic;signal
    리포트 | 34페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.03.29
  • 아주대학교 A+전자회로실험 실험1 예비보고서
    -IN(INVERTING INPUT)2IInverting signal inputNC8N/ANo connect, should be left floating+IN(NONINVERTING ... INPUT)3INoninverting signal inputOFFSET NULL1,5IOffset null pin used to eliminate the offset voltage ... and balaut voltages.OFFSET NULLOUT6OAmplified signal output+VDD7IPositive supply voltage-VDD4INegative
    리포트 | 7페이지 | 1,500원 | 등록일 2023.06.10
  • (A+/이론/예상결과/고찰) 아주대 통신실험 예비보고서4
    modulating signal의 주파수를 300Hz에서 15kHz까지 조절해도 deviation 값은 13kHz로 일정할 것이다. deviation은 modulating signal의 ... ATTENUATOR을 10 dB에서 0 dB으로 감소시킴으로서 modulating signal의 peak-to-peak를 10배 증가시켰다. ... 또는 인덕턴스의 유도계수를 변화시킴으로써 동조회로의 공진주파수를 수신 희망국의 주파수와 일치시킨다.Exercise 1 : Direct Method of Generating FM signals1
    리포트 | 8페이지 | 1,500원 | 등록일 2021.10.24
  • (A+/이론/예상결과/고찰) 아주대 통신실험 예비보고서10
    CVSD system은 integrated signal의 slope를 변화시켜 overload를 막는 방법을 사용한다. slope detector는 CVSD의 output signal에서 ... 따라서 음의 기울기를 갖게 하고 integrator의 gain을 증가시켜 integrated signal의 기울기를 증가시키게 되어 overload를 방지하게 된다. ... overload가 발생했는지를 감지해낸다. slope detector는 overload가 감지되면 0V를 출력하게 되는데, 이것은 slope control의 output signal
    리포트 | 12페이지 | 1,500원 | 등록일 2021.10.24
  • Gibbs' 현상에 대한 실험2, MATLAB 코드 포함
    과제 분석1) 과제의 목적Frequency domain에서의 rectangular pulse는 time domain의 sinc function signal을 fourier transform시켜야 ... )= sum _{n=-K} ^{K} {sinw _{c} n} over {pi n} e ^{-jwn}인데K -> INF 로 발산하게 되면 frequency domain에서 나타나는 signal이 ... Digital Signal Process융합전자공학부2014002742김명훈1.
    리포트 | 2페이지 | 1,000원 | 등록일 2019.10.02
  • MOSFET Characterisitics 10주차 예비보고서
    MOSFET Characterisitics학 과전자전기컴퓨터공학부실험일2018년도 1학기서론실험 목적이번 실험에서는 MOSFET의 동작 원리를 이해하고, 전류-전압의 특성 및 small-signal ... 변화시키면서 의 값을 측정한다.7) V2=12V, V2=4V, V2=8V일 때, 의 그래프를 그린다.8) Threshold voltage, Early voltage, Large signal ... 변화시키면서 의 값을 측정한다.7) V2=12V, V2=4V, V2=8V일 때, 의 그래프를 그린다.8) Threshold voltage, Early voltage, Large signal
    리포트 | 6페이지 | 1,000원 | 등록일 2020.04.04 | 수정일 2020.04.23
  • Hamming window에 대한 실험, MATLAB 코드 포함
    을 가지는 것을 확인할 수 있었다.3) Phase 분석수업시간에 배운 대로 phase가 signal이 한번 뒤집어질 때마다 아래로 떨어지기 때문에 rectangular pulse ... Rectangular window를 통과한 signal의 경우 cut-off frequency에서 더 많은delta 로 값이 튀었고 Hamming window의 경우 훨씬 적은delta ... Digital Signal Process융합전자공학부2014002742김명훈1.
    리포트 | 3페이지 | 1,000원 | 등록일 2019.10.02
AI 챗봇
2024년 08월 30일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:15 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대