• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,211)
  • 리포트(2,064)
  • 시험자료(68)
  • 자기소개서(39)
  • 방송통신대(20)
  • 서식(11)
  • 논문(8)
  • 기업보고서(1)

바로가기

부의 시그널 독후감 - 부의 시그널 관련 독후감 5건 제공

"부의 시그널" 검색결과 61-80 / 2,211건

  • 분자세포생물학 chapter 16, 17, 18, 20 정리본
    : (cell signaling)Signaling cell – extracellular signal molecule -> detected by target cell (possess ... it into an animal’s bloodstream of a plant’s sapFrom endocrine cellsParacrine signalingThe signal molecules ... receptors)Signal transduction begin : receptor on a target cell receives an incoming extracellular signal
    시험자료 | 74페이지 | 6,000원 | 등록일 2024.07.09
  • 통신공학실습 이주한 레포트순위 1등
    이 과정에서 FM modulated signal을 미분하게 되면 진폭 변조와 주파수 변조가 동시에 일어난 형태를 갖게 된다. ... 또한 파형에 어느정도 일그러짐이 있지만 기존의 message signal과 같은 주파수의 파형이 출력된 것으로 보아 어느 정도 복조가 이루어 졌다고 할 수 있다. ... 위 figure를 보면 message 신호의 진폭이 클 때 modulated signal이 밀한 것을 확인할 수 있고, message 신호가 작아지는 부분에서는 소한 것을 확인할 수
    리포트 | 7페이지 | 2,500원 | 등록일 2020.12.23 | 수정일 2021.10.05
  • [일반생물학및실험(1)] 3. 단백질 정량
    R square값은 0.9429로 비교적 준수해 증가하는 경향을 보여주긴 했으나, 정상적이라면 blank를 빼주었으므로 원점을 지나야 하는데 background signal을 빼준 ... 이러한 단백질의 공통적인 특징으로는 signal을 증폭시켜 측정이 용이하게 하고, 생화학적인 반응을 최소화하여 측정에 영향을 주지 않게 하며 단백질의 특정 작용기와의 특이적인 결합으로 ... 정량은 어려웠는데, 이로 인한 오차의 원인으로 우선 standard curve가 제대로 작성되지 않았기 때문이 있겠다. standard curve를 그릴 때 background signal
    리포트 | 3페이지 | 2,000원 | 등록일 2020.04.23
  • 신경전달물질의 역할에 대해서 설명해 보시오. 여러 신경전달물질 중 자신의 생활에서 가장 많이 작용한다고 생각되는 물질에 대해서 자신의 사례를 들어서 설명해 보시오.
    서론 신경전달물질(neurotransmitter)은 시냅스(synapse)에서 화학적 신호(chemical signal)를 전달하기 위해 신경세포에서 분비되는 신호 물질이다. ... 신경세포 사이의 접합부인 시냅스에는 신경세포의 축삭 말단(axon terminal)과 또 다른 신경세포의 수상돌기(dendrite)가 존재한다.
    리포트 | 5페이지 | 3,000원 | 등록일 2021.09.30
  • [통신공학실습] 6주차 결과레포트
    Modulating signal과 carrier signal이 인가되고, 변조 과정이 수행된다. ... 10.533kΩ과변조가 발생함변조도에 따른 출력 파형의 모습과변조변조도 ≒ 100%0% < 변조도 < 100%변조도 ≒ 0%가변저항의 값을 바꾸어주면서 출력 파형을 측정하였을 때, message signal에 ... 학과전자전기컴퓨터공학부학번이름목차실험 목적배경 이론실험 결과참고 자료실험 목적단일 IC와 소자를 이용하여 어떻게 반송파를 발생시키는지 확인하고, 진폭 변조되는 것을 확인한다.변조되는
    리포트 | 11페이지 | 1,500원 | 등록일 2020.02.14
  • 자동차엔진 ) 자동차 산소센서를 조사
    것, 산소센서 이전의 공기가 새는 것, 그라운드와 산소센서 시그널 선이 종종 접촉하는 것이 원인일 수 있다. 1.3V 이상의 산소센서 시그널이 나타날 경우 전원 공급부와 시그널선이 ... 산소센서의 전압이 지속적으로 높게 나타날 경우 전원 공급부 혹은 레퍼런스 전압에 배선이 접지되어있는 것이 원인일 수 있다. ... 전승용. 2012.05.09.6) 산소센서 시그널 파형 분석법.
    리포트 | 6페이지 | 5,000원 | 등록일 2023.08.24
  • 초음파유량계 유량측정-열유체공학실험
    광범위하게 적용할 수 있으나소형일 경우 설치 방법을 잘 선정해야 하며 검출기 표면에 foam strip 부착 등을부착하여야 한다.- 설치 후에 트랜스미터 상의 신호 강도(SS, signal ... Low Signal Cut-Off는 기기가 초음파 신호를 교신할 때 유체의 상태에따라 정상적이지 못한 시그널을 교신하는 경우, 이 신호를 무시하는기능을 수행한다. ... 그 때문에 검출기 부착부에는 상류 측과 하류 측에 어느 정도의 직관이 필요하다.
    리포트 | 17페이지 | 2,500원 | 등록일 2021.05.14 | 수정일 2021.10.20
  • [아동간호학-간호과정]자간증(임신성고혈압) IIOC 자궁조기수축-간호진단 케이스
    high risk pregnancy - twin #1☞ Interpretation & comments- 50개의 간기세포 중 42개에서 다운 증후군에 합당한 소견인 3개의 21번 signal ... Interpretation & comments- 배양하지 않은 양수세포에서 X, Y, 13, 18, 21번 염색체의 숫적이상에 대해 FISH 검사를 실시한 결과 각 번호별로 정상수의 시그널이 ... Abdominal pain: 하복부통증 간헐적으로 있음?Viral diseases: 없음 `?Edema(General, Legs): 없음?Vaginal Bleeding: 없음 `?
    리포트 | 22페이지 | 2,000원 | 등록일 2020.04.07
  • 명지대학교 산업경영공학과 생산관리 10주차 강의내용
    A tracking signal is a measurement of how well a forecast is predicting actual values.수요예측이 잘 수행되고 있는지 ... Tracking SignalOne way to monitor forecasts to ensure that they are performing well is to use a tracking signal ... available demand data are compared to the forecast values.예측값과 실제값 사이의 비교를 통해 추적 신호값을 계산할 수 있다.The tracking signal
    리포트 | 8페이지 | 2,000원 | 등록일 2023.09.24
  • 화실기_실험 2 Time-resolved Thermal Lens Cal 보고서
    [그래프 2] Thermal lens effect signal[그래프 2]는 thermal lens effect에 의한 square wave pulse signal로, 초기에는 발열에 ... 열용량을 의미한다.본 실험에서는 구한 데이터들을y=y _{0} +A _{1} e ^{R _{0} x}함수에 fitting하고 [식1]의 I(t)함수에 form → Refresh (signal ... 레이저 빔이 시료가 들어있는 큐벳을 통과할 때, 물질의 온도에 따른 굴절률 차이에 의해 중심부를 통과하는 빛의 속도가 주변부를 통과하는 속도보다 빨라지고, 시료는 오목렌즈 역할을 한다
    리포트 | 11페이지 | 2,000원 | 등록일 2024.07.14
  • (A+/이론/예상결과/고찰) 아주대 통신실험 예비보고서12
    Bell 202 standard 와 비교해보고 FSK를 이용하여 back-channel control signals에 익숙해진다.1. ... 디지털신호를 아날로그 통신채널을 넘어 보내기 위해 FSK의 방식으로, 데이터 신호의 바이너리 1‘s 와 0’s는 sine wave carrier signal의 두 값f _{M},f ... The modem 은 또한 들어오는 FSK signals을 컴퓨터가 이해할 수 있는 digital low and high states로 전환한다.간단한 FSK(Frequency Shift
    리포트 | 11페이지 | 1,500원 | 등록일 2021.10.24
  • MATLAB으로 본 깁스 현상(Gibbs_phenomenon)
    Gibbs phenomenon이름:박**학번: 2017****소속:**전*공학부Ⅰ. ... 매트랩 코드N = 3일 때 매트랩 코드% Gibbs phenomenon% clear allclc;clear;close all;% Fourier coefficients and signal ... N)]);N = 22105일 때 매트랩 코드% Gibbs phenomenon% clear allclc;clear;close all;% Fourier coefficients and signal
    리포트 | 4페이지 | 2,000원 | 등록일 2021.05.27
  • 3세대 면역 항암제 관련 구제적 자료 조사(1,2세대 일부 포함) / 과학 토론 주제 추천
    그 어느 방법으로 치료하지 못한 악성 림프종, 다발성 골수종을 완치 시킨 경우가 있음.면역 항암제의 원리: 암세포가 보내는 시그널인 단백질 PD-L1과 T세포의 시그널인 단백질 PD1 ... 면역이 방어해주는 경우도 있기 때문면역관문이란-> 암세포를 적으로 인식해야할 T세포가 암세포를 적으로 인식하지 못하는 것(암세포가 정상세포의 시그널을 보내는 것)치료방법: catT ... 골수 세포들에게 피해를 준다.정상세포는 성장을 멈추지만, 암세포는 성장을 계속한다.2세대 항암제2세대 항암제 = 표적항암제,암세포에만 있는 수용체 같은걸 찾아서 공격-> 1세대보다 부작용이
    리포트 | 3페이지 | 1,500원 | 등록일 2023.10.30
  • [A+]중앙대학교 전자회로설계실습 Inverting, Non-Inverting amp, Summing Amp 예비보고서
    (전원전압: ±15 V, 센서의 등가회로 사용. signal source로서 SOURCESTM 라이브러리에 있는 VSTIM파트 사용. ... 설계과정(이론부 참조), 설계한 회로, Inverting Amp의 PSPICE출력파형을 제출한다.
    리포트 | 9페이지 | 1,000원 | 등록일 2020.11.30 | 수정일 2021.03.11
  • [한양대 기계공학부] 동역학제어실험 실험1 진동 신호의 시간 및 주파수 영역 해석 A+ 자료
    실험 목적진동 실험에 있어서 주파수 분석기(signal analyzer)의 사용이 필수적이라 할 수 있다. ... 실험 방법① Function generator 와 주파수 분석기(signal analyzer)를 이용하여 다음의 [그림 6]과 같이 실험 장치를 구성한다.[ 그림 6. ... 식을 Fourier transform 방법이라 한다.계산시에는omega 에 주파수 값x(t)는 시간 영역에서의 함수를 대입하면 된다.아래 그림은 sine 파와 square 파가 signal
    리포트 | 13페이지 | 3,000원 | 등록일 2023.01.07
  • (A+/이론/예상결과/고찰) 아주대 통신실험 결과보고서1
    _{p-p}} ``=` {{A} over {sqrt {2}}} over {2A} ``=` {1} over {2 sqrt {2}} ``=`0.354```(V)square wave signal ... V _{rm ` rm r.m.s it}} over {V _{p-p}} ``=` {A} over {2A} ``=` {1} over {2} ``=`0.5```(V)triangular signal ... {V _{p-p}} ``=` {{A} over {sqrt {3}}} over {2A} ``=` {1} over {2 sqrt {3}} ``=`0.289```(V)sawtooth signal
    리포트 | 13페이지 | 1,500원 | 등록일 2021.10.24
  • (A+/이론/예상결과/고찰) 아주대 통신실험 예비보고서결과보고서7
    PWM and PPM signal Demodulation1. 그림 5.5와 같이 module을 설정한다. ... -> PWM와 PPM 신호의 스펙트럼은 original message signal을 포함하고 있다는 것이 공통점이다.Exercise 1. ... ->k= {change```i``n```pulse`width} over {change```i``n```amplitude`of`message`signal} = {88us-12us} over
    리포트 | 16페이지 | 1,500원 | 등록일 2021.10.24
  • 아날로그 및 디지털회로설계실습 4주차 신호발생기 과제
    UA741CN, 1N4001 datasheet를 참고하여 문제에 답하시오.UA741CN의 Tamb=+25℃ 일 때의 Large signal voltage gain, Avd를 찾으시오.Avd ... 참고하여 문제에 답하시오.Feedback의 종류를 구하시오.Negative Feedback 이다.R1=1kΩ, R2=19kΩ일 때, closed-loop gain과 β를 구하시오.이론부에
    리포트 | 3페이지 | 1,000원 | 등록일 2021.09.02
  • 10주차_동물해부(쥐)
    신경세포들은 Action potential을 통해 장기 사이에 bioelectrical signal을 보낸다. ... 또한 chemical signal을 통해 다른 장기들이나 근육, 분비샘들과 상호자굥한다.내분비계Hormones라고 불리는 Chemical messengers를 분비하는 분비샘들로 구성된다 ... 뇌하수체, 갑상샘, 부갑상샘, 부신, 이자, 난소, 정소, 송과체, 흉선이 속해있다.골격계골격계는 몸의 뼈와 인대, 연골 등을 포함한다.
    리포트 | 3페이지 | 2,000원 | 등록일 2023.11.15
  • 디지털 논리회로 4판 개정 4장 연습 문제 풀이 생능출판, 김종현 최신판 (문제+풀이+회로)
    시그널시그널 결과가 진리표와 일치하는 것을 확인하였다.4.8 아래의 그림 4-33과 같은 회로에서 각 게이트의 출력에 주어진 임시 변수들(a, b, c) 및 두 출력 변수들 (F와 ... 시그널4.9 앞면과 뒷면을 가진 동전 세 개에 각각 논리 변수 A, B 및 C를 지정하기로 하자. ... )Z(X⊕Y)Z+XY0000000000100100010101000111001110010100101100111100100111101101F = X⊕Y⊕ZG =(X⊕Y)Z+XY회로입력시그널결과
    시험자료 | 17페이지 | 5,000원 | 등록일 2023.10.12 | 수정일 2023.10.17
AI 챗봇
2024년 08월 30일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:08 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대