• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(762)
  • 리포트(718)
  • 시험자료(26)
  • 자기소개서(13)
  • 논문(3)
  • 방송통신대(2)

"비동기식 카운터" 검색결과 41-60 / 762건

  • 디지털 회로 실험 / 인터비젼 / 예윤해, 정연모, 송문빈 / 9장(8비트 동기카운터) 예비보고서
    반면에비동기식 카운터에 비해서 이전의 상태를 미리 알고 있어야하기 때문에 회로가 좀 더 복잡해진다.동기식 4비트 2진 카운터 회로도동기식 4비트 2진 카운터 회로도 시물 결과값(1) ... 동기카운터비동기식 카운터와 같은 전달 지연시간을 가지지않기 때문에 상대적으로 고속으로 동작시킬 수 있다. ... 반면 비동기식 카운터는 하위비트로부터 값을 변화시켜 순차적으로 상위 비트로 그 계산결과를 전달하여 최종적인 카운터 동작을 마친다.
    리포트 | 5페이지 | 1,000원 | 등록일 2009.05.07
  • [전자공학실험] 비동기식카운터-결과레포트
    비동기식 카운터실험 결과실험1.비동기식 MOD-10 카운터 회로이다 카운터의 동작을 확인하라.회로도{시뮬레이션{실험분석위 회로와 시뮬레이션 한 결과로 미루어 보아 이번에 실험할 회로는 ... 이번에 실험할 것들이 비동기식 카운터인데 비동시식과 동기식의 차이부터 우선 알아 두어야 하겠다. 비동시식은 위의 회로와 같이 CLK 에 같은 clock이 들어오지 않는 경우이다. ... 동기식은 위의 방식과는 다르게 동일한 clock이 들어가게 되는 회로이다. 동기식은 다음에 하기로 하고 우선 비동기식부터 실험한 것이다.
    리포트 | 10페이지 | 1,000원 | 등록일 2003.10.20
  • 디지털 회로 실험 / 인터비젼 / 예윤해, 정연모, 송문빈 / 9,10장(8비트 동기카운터, 8비트 시프트 레지스터) 결과보고서
    우선 74LS163은 로드 입력을 가지고 있는 동기카운터로 로드에 입력을 주면 입력 4개가 출력으로 되고 로드에 입력을 안주면 그다음부터 카운터가 되는 TTL소자 이다. ... 이번 실험에서는 로드를 안쓰고 카운터를 이용하여 4bit카운터를 사용하였고 그 카운터로 출력되는 값을 74LS47의 입력값에 넣어서 각 카운터 마다 7세그먼트로 맞게 실험이 되는지 ... 디지털 회로 실험(5장 결과보고서)과 목 명 :디지털 회로 실험학 과 :학 번 :이 름 :결 과 보 고 서< 실험 9, 10 : 8비트 카운터, 7세그먼트 디코더 >실험 8비트 카운터
    리포트 | 6페이지 | 1,000원 | 등록일 2009.05.07
  • Flip-Flop 2 , 비동기식 카운터
    JK Flip-Flop 과 T Flip-Flop을 이해하고 , JK Flip-Flop의 응용인 카운터 회로를 구성하여 이 회로들의 동작을 확인한다.
    리포트 | 19페이지 | 무료 | 등록일 1999.10.18
  • 기초전자회로실험 - 비동기,동기 카운터 예비레포트
    비동기 카운터21. 동기 카운터 설계2. ... 관련 이론 :1) 비동기 카운터동기 카운터의 설계와 동작방식의 차이위의 그림을 참고하면, 비동기 카운터(왼쪽 그림)에서 각 플립플롭들의 CLK는 서로 다른 신호원을 받는다. ... > 01 -> 00으로 루프를 형성하면서 감소함을 알 수 있다.[8](2) 비동기식 카운터의 문제점 : 신호의 불안정성사실, CLK’에서 trigger edge가 생기자마자 플립플롭이
    리포트 | 11페이지 | 2,000원 | 등록일 2021.02.27
  • 결과보고서(4) Counter 카운터
    길어지지만 비동기식 카운터보다는 짧아진다. ... 비동기식 카운트 다운 카운터, 리플 캐리 카운터, 비동기식 십진 카운터, 모듈러스 5 카운터를 구성하고 이론에 따른 예상 결과값이 잘 나와 특성을 잘 이해할 수 있었다. ... 실험결과, 위 표의 결과값과 같이 순서대로 오차 없이 나왔다.이 카운터는 회로의 구성이 비동기식 카운터보다 복잡하지만 동기카운터보다는 간단하고, 또 전송 지연이 동기카운터보다는
    리포트 | 8페이지 | 2,000원 | 등록일 2020.10.14
  • 충북대학교 전자공학부 기초회로실험II 예비보고서 실험 19. 카운터 회로
    (2) 비동기식 카운터의 장단점을 열거하라.① 장점 : 비동기식 카운터의 경우 동기카운터에 비하여 복잡하지가 않다.② 단점 : 비동기식 카운터는 플립플롭의 전달 지연이 누적된다는 ... 이 론(1) 비동기식 카운터비동기식 카운터는 첫 단의 플립플롭에 클럭신호가 인가되어, 이 첫 단 플립플롭의 출력이 다음 단의 플립플롭을 트리거 시키도록 되어 있는 회로를 말하며, 클럭의 ... 계수기와 비동기식 계수기의 중간 절충식으로 비동기식보다는 전송지연이 작고 동기식보다는 회로가 간단한 이점이 있다.위의 그림 4비트 는 twist-around 된 입력방식 때문에 기본
    리포트 | 5페이지 | 2,000원 | 등록일 2020.09.19
  • [논리회로실험] Counter_ 예비보고서
    - 비동기식 카운터의 단점인 전달지연을 없애기 위해 모든 플립플롭에 같은 Clock 펄스 인가4) 2단 2진 Counter- 2진 Counter란 이진수를 출력하는 Counter- ... 하향 카운터가 있음(실험에서는 하강 에지에서 변화하는 상향 카운터를 사용)3) 동기카운터- 모든 플립플롭이 하나의 공통 클럭에 연결되어 있어 플립플롭이 동시에 트리거 되는 카운터 ... 실험과정 및 예상 결과1) 실험 1 : 2단 2진 Counter - 비동기식 Counterpower supply를 연결하고 74HC76과 74HC08 칩을 이용하여 2단 2진 Counter를
    리포트 | 9페이지 | 1,000원 | 등록일 2021.06.03 | 수정일 2023.03.29
  • 디지털회로실험 카운터 결과보고서
    실험과정 5.2의 결과를 확인하고, 이를 사진으로 첨부하시오.JK 플립플롭을 활용한 4bit 비동기식 이진 카운터십진수카운터 순서Q3Q2Q1Q*************11121314152 ... 위험했던 일인 만큼 다시 피드백을 받아 약한 전압을 주고 작동여부를 살펴 봐야겠다.: 이번 실험은 2가지 종류의 비동기식 카운터를 만들어보았다. ... 실험과정 5.4의 결과를 확인하고, 이를 사진으로 첨부하시오.D 플립플롭을 활용한 4bit 비동기식 이진 카운터십진수카운터 순서Q3Q2Q1Q001234567891011121314153
    리포트 | 6페이지 | 3,000원 | 등록일 2021.04.16
  • Asynchronous Counter,Synchronous Counter 결과레포트
    고찰이번 실험은 jk flip-flop을 이용하여 비동기식 카운터를 설계하고 각 플립플롭 Q 값을 측정하여 카운터의 원리와 어떻게 작동하는 지 알아보았다.
    리포트 | 2페이지 | 2,000원 | 등록일 2022.08.22
  • MOD-17 비동기식 카운터
    마지막으로 MOD-17 = 10001에 리셋하기 위하여 A,E 출력을 NAND 입력에 연결하여 설계한다.State DiagramMOD-17 비동기 카운터 ... 그다음 모든 비동기 클리어 입력에 NAND 게이트의 출력을 연결한다. ... 즉 5개의 F/F이 필요하다.MOD-17 비동기 카운터EDCBA000*************001100100001010011000111010000100101010010110110001101011100111110000왼쪽과
    리포트 | 2페이지 | 1,000원 | 등록일 2021.11.20
  • 디지털 논리회로의 응용 카운터/시프트레지스터
    실험 재료 및 장비 실험 장비타이머 실험 방법 비동기식/동기카운터 카운터비동기 (asynchronous) 카운터동기 카운터가 있다. ... 디지털 논리회로의 응용 – 카운터/시프트레지스터 예비 레포트 실험 목표 비동기식 카운터동기카운터의 원리에 대해 이해할 수 있다. ... 그림 2의 동기카운터를 구성하고 그림 1의 회로와 마찬가지로 결과 데이터를 기록한다. 고주파수 클럭에서의 동작을 비동기식 카운터와 비교한다.
    리포트 | 16페이지 | 2,000원 | 등록일 2022.03.03
  • 카운터설계 예비보고서 중앙대
    실습목적: JK Flip Flop 을 이용한 동기식, 비동기식 카운터를 설계해 보고 리셋 기능을 이용하여 임의의 진수 의 카운터를 제작할 수 있는 능력을 배양한다. ... 설계실습 계획서1. 4 진 비동기 카운터이론부의 그림 14-2 의 비동기식 4 진 카운터에 1MHz 의 구형파 (square wave)를 인가할 때, Q1 신호의 주파수와 Q2 신호의 ... Q2 의 주기는 클럭의 4 배는 4us 이고 주파수는 0.25MHz 이다.2. 8 진 비동기 카운터 설계8 진 비동기 카운터의 회로도를 그린다.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.08.13
  • 디지털 논리실험 10주차 예비보고서
    1.1 비동기식 카운터동기카운터의 작동원리와 차이점에 대하여 서술하 시오. ... 반면 하나의 펄스가 입력되면 모든 J-K Flip-flop이 동시에 작동하는 동기카운터와 달리 비동기카운터는 이전 D Flip-flop의 출력이 다음 D Flip-flop을 ... 비동기식 카운터는 첫 번째 D Flip-flop의 CP입력에만 CLK 펄스가 입력되고 앞쪽에 있는 D Flip-flop의 출력 값이 뒤쪽에 있는 D Flip-flop의 CLK으로 들어간다
    리포트 | 4페이지 | 2,000원 | 등록일 2023.04.11
  • 홍익대학교 디지털논리실험및설계 10주차 예비보고서 A+
    비동기식 카운터동기카운터의 경우 특정한 state이 반복적으로 발생 하는 순서가 2진수의 순서를 그대로 따라가는데, 이러한 상태 변화는 LSB 부분을 클록 펄스 의 트리거 에지가 ... 발생할 때마다 state이 계속해서 변하게끔 만들고 그다음 단계의 state부 터는 이전 단계의 state들이 모두 1일 때만 state이 변하게끔 만듦으로써 구현할 수 있습니 다.비동기식 ... 지만 동기카운터의 경우 카운터 내의 모든 Flip-flop들이 공통의 클록 펄스에 의해서 동 시에 상태가 변하는 카운터입니다.
    리포트 | 6페이지 | 1,500원 | 등록일 2023.03.21 | 수정일 2023.04.03
  • 아날로그및디지털회로설계실습예비보고서11-카운터 설계
    실습목적JK Flip Flop을 이용한 동기식, 비동기식 카운터를 설계해 보고 리셋 기능을 이용하여 임의의 진수의 카운터를 제작할 수 있는 능력을 배양한다.
    리포트 | 6페이지 | 1,500원 | 등록일 2020.03.29 | 수정일 2020.05.06
  • 중앙대학교 실험 결과 보고서(카운터 설계)
    과목명담당 교수학과학번실습일결과 보고서10.전자전설계실습 10.1-4 설계실습 방법(비동기식 4진 카운터 회로)CLK가 falling edge일 때 값이 변한다.값이 변하는 것은 이전상태를 ... (=0)Low (=0)1Low (=0)High (=1)2High (=1)Low (=0)3High (=1)High (=1)(진리표)1-4-1 4진 비동기 카운터 및 16진 비동기 카운터 ... 카운터에서 LED가 켜지지 않는 현상 때문에 10진 카운터 까지 하지 못하고 실험이 종료되었다. 4진 비동기 카운터에서 원인을 찾지 못했습니다.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.01.13
  • [중앙대 아날로그및디지털회로설계실습]설계실습11(카운터 설계) 결과보고서
    결론JK Flip Flop을 이용한 동기식, 비동기식 카운터를 설계해본 결과 모두 정상 동작하였다. ... 요약 : 이번실습에서는 JK Flip Flop을 이용한 동기식, 비동기식 카운터를 설계해 보고 정상적으로 동작하는지 확인해봤다. ... 서론비동기 8진 카운터, 비동기 16진 카운터, 동기 16진 카운터를 설계하고 정상 동작하는지 확인했다.2.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.08.11
  • 아날로그 및 디지털회로 설계실습 / 결과보고서 / 11.카운터 설계 / A+성적인증포함 / 해당학기 전체성적포함
    요약Flip Flop을 활용한 순차식 논리회로인 비동기 8진 카운터와 16진 동기 카운터 회로를 제작하고 실험하였다. ... 그리고 스위치를 눌러가며 000에서 111까지 토글되며 8진 비동기 카운터가 올바르게 동작하는 것을 확인하였다. 다음으로 16진 동기 카운터를 제작하고 동작 상태를 확인하였다. ... 초기상태 0000부터 1111까지 LED 점등을 통해 올바르게 동작하는 것을 확인하였으며, 8진 비동기 카운터와 유사하게, 최대 표현 숫자 1111에서 스위치를 누르면 초기상태 0000으로
    리포트 | 6페이지 | 1,500원 | 등록일 2020.11.13
  • 기초전자회로실험 - FPGA Board를 이용한 FSM 회로의 구현 예비레포트
    동기카운터 :(1) 설계 방법 :동기카운터의 특성상, 모든 플립플롭의 CLK는 하나의 클록신호원을 받아 동작을 수행하기 때문에, 모든 플립플롭의 출력 Q들은Q _{"ne"xt ... 마지막으로, 구한 식을 바탕으로 회로를 설계하고, 모든 플립플롭들의 Clk입력은 하나의 클록신호만 받게끔 연결한다.[5](2) 3비트 동기식 업 카운터 적용 :업 카운터는 시퀀스의 ... 측에서 보면 양산되어 일반적 용도로 사용되므로 범용 IC의 범주에 속하고, 사용자 측에서 보면 사용자 요구에 맞게 프로그래밍하여 사용할 수 있으므로 주문형 반도체 범주에 속한다.비메모리
    리포트 | 8페이지 | 2,000원 | 등록일 2021.02.27
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 19일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:32 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기