• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(281)
  • 리포트(277)
  • 자기소개서(2)
  • 시험자료(2)

"카운터설계 예비" 검색결과 41-60 / 281건

  • 비동기 카운터, 동기 카운터 설계 결과레포트
    카운터 설계 실험에서는 예비레포트를 작성할 때 만들었던 6-mod Gray code synchronous counter를 회로로 구현해 작동을 확인하였다. ... 비동기 카운터, 동기 카운터 설계결과레포트1. 실험 제목1) 비동기 카운터2) 동기 카운터 설계2. ... 실험 결과1) 비동기 카운터(1) QA vs QB(2) QA vs QC(3) QA vs QD- 결과를 바탕으로 완성된 그래프2) 동기 카운터 설계(1) QA vs QB(2) QA vs
    리포트 | 4페이지 | 1,000원 | 등록일 2022.10.09
  • 논리회로실험 카운터 설계
    논리회로설계 실험 예비보고서 #7실험 7. 카운터 설계1. ... 예비 이론(1) 카운터- 계수기라고도 불리며 반복해서 일어나는 현상의 수를 셈하는 장치로 클록 펄스에 따라 수를 세는 계수능력을 갖는 논리회로이다.- 2개 이상의 플립플롭으로 구성되어있고 ... 아래의 그림은 본 예비실험에서 사용되는 비동기식 업카운트의 상태도와 논리회로도이며, 4비트의 2진 카운터이다. 0부터 클록의 수가 증가하면 15까지 증가하게 되고, 16개의 상태를
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 기초전자설계및실험 예비보고서 Mod N counter
    -실험 전 예비보고서 준비할 때 비동기 Mod-16카운터 등의 특성과 내부 회로도를 확인하고 각 카운터들을 비교한다. ... -실험 전 예비보고서 준비할 때 Trailing Edge의 클락마다 동작하는 Mod-10 Up 카운터 회로를 구성하고 진리표를 작성하여 카운터 동작을 확인한다. ... -실험 전 예비보고서를 준비할 때 Trailing Edge의 클락마다 동작하는 Mod-10 Down 카운터 회로를 구성하고 진리표를 작성하여 카운터 동작을 확인한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2019.09.29 | 수정일 2019.09.30
  • 12. Stopwatch 설계 예비보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    아날로그 및 디지털 회로 설계 실습-실습 12 예비보고서-Stopwatch 설계학과 :담당 교수님 :제출일 :조 :학번 / 이름 :12-1. ... 설계12-4-2와 같은방법으로 확장하여 3자리 숫자 표시 카운터설계한다. ... 실습목적Stopwatch 설계를 통하여 카운터, 분주회로, 클럭 회로, 디코더 등 다양한 디지털 회로 구성요소에 대한 이해를 높이고 Datasheet를 읽고 분석하는 능력과 원하는
    리포트 | 6페이지 | 1,000원 | 등록일 2022.09.06
  • [A+] 중앙대학교 아날로그및디지털회로설계실습 11차 예비보고서
    아날로그 및 디지털 회로 설계 실습예비보고서설계실습 11. 카운터 설계소속전자전기공학부학수번호실험 조x조조원 이름작성자실험날짜2023.11.30제출날짜2023.11.301. ... 설계16진 비동기 카운터와 리셋 회로를 이용하여 10진 비동기 카운터의 회로도를 그린다. 11-3-2 의 경우와 마찬가지로 버튼 입력에 따라 카운트가 증가하도록 설계한다. ... 실습 목적JK Flip Flop 을 이용한 동기식, 비동기식 카운터설계해 보고 리셋 기능을 이용하여 임의의 진수의 카운터를 제작할 수 있는 능력을 배양한다.
    리포트 | 7페이지 | 1,000원 | 등록일 2024.02.17
  • 충북대 기초회로실험 카운터 회로 예비
    카운터 회로(예비보고서)실험 목적(1) 비동기식 카운터의 구조와 동작원리를 이해한다.(2) 동기 계수기의 구조와 동작을 이해한다.(3) 임의의 mod 동기 계수기를 설계하는 방법을 ... Up/Down 카운터는 입력 단에 count up과 count down애 선택적인 신호를 연결해 줌으로써 두 동작을 실행할 수 있다.예비과제(1) 비동기식 카운터(Asynchronous ... 이와 같이 카운터의 플립플롭 입력은 어떤 규칙성이 있음을 볼 수 있고 이와 같은 규칙성은 카운터를 bit slice로 설계하기에도 용이하다.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.09.10
  • 12. Stopwatch 설계 결과보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    (회로도를 그릴 때, VCC, GND 연결 등의 기본적인 연결은 표시할 필요 없이 주요 부품과 주요 결선 부분만 표시) 또한 예비 설계보고서에서 예상했던 대로 회로가 동작하지 않았을 ... (C) 이상의 과정이 완료 되면 조교의 확인을 받는다.12-4-3 3자리 숫자 표시(시간표현) 카운터 설계(A) 10진 카운터 3개를 연결, 3자리 카운터를 만든다. ... 아날로그 및 디지털 회로 설계 실습-실습 12 결과보고서-Stopwatch 설계학과 :담당 교수님 :제출일 :조 :학번 / 이름 :12-4.
    리포트 | 6페이지 | 1,000원 | 등록일 2022.10.24
  • 아날로그 및 디지털 회로 설계 실습 결과보고서12 Stopwatch설계
    사용한 최종 회로도를 그리고 동작 원리를 설명하시오, (회로도를 그릴 때, Vcc, GND연결 등의 기본적인 연결은 표시할 필요 없이 주요 부품과 주요 결선 부분만 표시) 또한 예비 ... 설계10진 카운터 3개를 연결, 3자리 카운터를 만든다.위와 같이 회로를 구성하였으며, 12-4-2실습의 회로에 추가적으로 소자를 연결하였으며 위의 실습들과는 달리 두번째 카운터가 ... 아날로그 및 디지털 회로 설계 실습-실습12. Stopwatch 설계-학 과 :담당 교수님 :제출일 :조 :학번 / 이름 :12-4.
    리포트 | 13페이지 | 2,000원 | 등록일 2023.09.05 | 수정일 2023.10.24
  • [A+보고서] 회로실험 카운터 회로 예비보고서
    카운터 회로회로실험2 9주차 예비보고서? ... 지연으로 인해 빠른 클럭신호에의해 구동 가능하다.- 전달지연이 대단히 작지만 비동기식 카운터에 비해 복잡하다.- 동기식 카운터 설계방법① 설계하고자 하는 카운터의 계수표 작성한다.② ... 예비 과제(1) 비동기식 카운터(Asynchronous counter)의 원리를 설명하라.- 클럭 펄스는 플립플롭 A의 CLK 입력에만 인가하고 플립플롭 A는 클럭 펄스의 매 하강
    리포트 | 8페이지 | 1,500원 | 등록일 2022.12.24
  • 디지털 회로 실험 및 설계 - Multiplexer, DeMultiplexer 실험, JK Flip Flop 순차회로 실험 1
    디지털회로실험및설계 예비 보고서 #4( Multiplexer, DeMultiplexer 실험 / JK Flip-Flop을 이용한 순차회로 실험 )과 목담당교수제 출 일학 번이 름1. ... 반면 회로가 복잡하다는 단점이 있으며, 단계적인 설계 과정이 필요하다- 동기 카운터는 JK 플립플롭, D 플립플롭, T 플립플롭 등으로 설계한다. ... 설계하고, 오실로스코프를 사용하여 파형을 측정하시오.
    리포트 | 19페이지 | 3,000원 | 등록일 2023.09.22
  • [A+] 중앙대학교 아날로그및디지털회로설계실습 12차 예비보고서
    아날로그 및 디지털 회로 설계 실습예비보고서설계실습 12. ... 이를 통해 00 ~ 99까지 2자리 숫자 표시를 할 수 있게 된다.3. 3자리 숫자 표시(시간표현) 카운터 설계의 Second_1 Segment는 초침의 일의 자리 숫자로 10진 카운터이며 ... 실습 목적Stopwatch 설계를 통하여 카운터, 분주회로, 클럭 회로, 디코더 등 다양한 디지털 회로 구성요소에 대한 이해를 높이고 Datasheet 를 읽고 분석하는 능력과 원하는
    리포트 | 6페이지 | 1,000원 | 등록일 2024.02.17
  • FPGA Board를 이용한 FSM회로의 구현 (up-counter) 예비레포트
    FPGA Board를 이용한 FSM회로의 구현 (up-counter)예비레포트1. 실험 제목1) FPGA Board를 이용한 FSM회로의 구현 (up-counter)2. ... 설계 검사로는 카운터 계수 시퀀스가 바르고 lock-up” 상태는 없는지를 검증하여야 한다(lock-up 상태란 카운터의 주 시퀀스로 되돌아오지 않는 상태를 말한다).[3]5. ... 구성할 수 있다.동기 카운터 설계에 있어서 가장 먼저 해야 할 일은 계수 시퀀스를 나타내는 상태 다이어그램을 작성하는 것이다.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.11.06
  • 8주차-실험19 예비 - 카운터 회로
    ⇒ JK플립플롭을 이용하여 up카운터설계한 것이다. ... 하나씩 줄어드는 방식의 카운터이다.시뮬레이션 값을 보면, A→B→C→D 순으로 하나씩 줄어드는 것을 확인할 수 있다.(6) 리플캐리 감산 16진 카운터설계하라.⇒ 리플캐리 카운터는 ... 먼저 CLEAR를 시킨 후 push ON/ release OFF S/W(예비지식 “입력신호”참조)로 CLK 신호를 가하라.
    리포트 | 14페이지 | 1,500원 | 등록일 2020.10.02 | 수정일 2022.10.16
  • 9주차-실험19 결과 - 카운터 회로
    설계한 것인데, 4개의 플립플롭이 모두 같은 클럭 입력을 받아서 작동하는 동기식 카운터입니다. ... 설계한 것인데, 4개의 플립플롭이 모두 같은 클럭 입력을 받아서 작동하는 동기식 카운터 입니다. ... 중간에 B와 D ( ABCD에서 )를 ABCD의 클리어에 연결하여 1010이 되면, 리셋되서 0부터 9까지만 카운터 되도록 설계되어있습니다.
    리포트 | 6페이지 | 1,500원 | 등록일 2020.10.02
  • 홍익대 디지털논리실험및설계 9주차 예비보고서 A+
    디지털 논리실험 및 설계 9주차 예비보고서1. ... 수 있다.존슨 카운터는 링 카운터의 다른 버전으로 트위스트 링 카운터라고도 불린다. ... 존슨 카운터는 링 카운터와 다르게 마지막 출력을 뒤집은 Q’를 다시 입력으로 받는다.
    리포트 | 5페이지 | 1,000원 | 등록일 2023.09.18
  • 8장 순차논리회로 설계 및 구현(2) 예비
    8장, 순차논리회로 설계 및 구현(2) 예비보고서1. ... 목적가. 4비트 동기 카운터설계하고 구현한다.나. 4비트 레지스터를 설계하고 구현한다.다. 3비트 시프트 레지스터를 설계하고 구현한다.2. 이론가. ... 예비보고가.
    리포트 | 7페이지 | 1,000원 | 등록일 2021.01.06
  • [A+] 중앙대 아날로그 및 디지털회로 설계실습12 Stopwatch 설계 예비보고서
    아날로그 및 디지털 회로 설계 실습-실습 12 예비보고서-Stopwatch 설계학 과 : 전자전기공학부담당 교수님 : XXX 교수님제출일 : 2020.12.XX(X)조 :X요일 X조학번 ... 실습 목적Stopwatch 설계를 통하여 카운터, 분주회로, 클럭 회로, 디코더 등 다양한 디지털 회로 구성요소에 대한 이해를 높이고 Datasheet를 읽고 분석하는 능력과 원하는 ... 74HC00: 3개NOR gate 74HC02: 3개AND gate 74HC08: 3개OR gate 74HC32: 3개7-Segment: 3개BCD Decoder 74LS47: 3개BCD 카운터
    리포트 | 3페이지 | 1,000원 | 등록일 2021.09.06
  • 12. Stopwatch 설계 예비보고서 - [아날로그및디지털회로설계실습 A+ 인증]
    아날로그 및 디지털 회로 설계 실습-실습 12 예비보고서-Stopwatch 설계소속중앙대학교 전자전기공학부담당 교수님*** 교수님제출일2021.12.02(목)분반, 조**분반, *조학번2 ... 따라서 00 ~ 99 까지 2자리 숫자 표시를 할 수 있게 된다.3. 3자리 숫자 표시(시간표현) 카운터 설계SECOND_1 Segment 는 초침의 일의 자리 숫자로 10진 카운터이며 ... 실습 활용 방안- 10진 카운터 칩과 7-Segment Decoder, 7-Segment LED 를 연결하여 Stopwatch 회로를 설계하였다.
    리포트 | 11페이지 | 1,000원 | 등록일 2022.11.16 | 수정일 2023.01.03
  • 홍익대_디지털논리회로실험_9주차 예비보고서_A+
    디지털 논리실험 및 설계 9주차 예비보고서실험 준비1.1 8-bit Serial-in Parallel-out Shift Register 74164의 datasheet를 확인하고 의 ... 이 경우 B를 EN으로 활용한 것이다.1.2 존슨 카운터와 링 카운터에 대하여 설명하시오.링 카운터는 D Flip-flop과 J-k Flip-flop으로 구현할 수 있다. ... 링 카운터와 원리가 비슷하다.
    리포트 | 6페이지 | 1,500원 | 등록일 2024.05.15
  • 충북대학교 전자공학부 기초회로실험II 예비보고서 실험 19. 카운터 회로
    예비 보고서실험 19. 카운터 회로과목명기초회로실험 II담당교수실험 조학과전자공학과학번이름1. ... 목 적(1) 비동기식 카운터의 구조와 동작원리를 이해한다.(2) 동기 계수기의 구조와 동작을 이해한다.(3) 임의의 mod 동기 계수기를 설계하는 방법을 익힌다.(4) 증계수, 감계수 ... 예비 과제(1) 비동기식 카운터(Asynchronous counter)의 원리를 설명하라.① 클록 펄스는 플립플롭 A의 CLK 입력에만 인가된다.
    리포트 | 5페이지 | 2,000원 | 등록일 2020.09.19
AI 챗봇
2024년 08월 31일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:33 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대