• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,283)
  • 리포트(2,100)
  • 시험자료(103)
  • 자기소개서(37)
  • 방송통신대(30)
  • 논문(7)
  • 서식(3)
  • ppt테마(2)
  • 이력서(1)

"7세그먼트" 검색결과 41-60 / 2,283건

  • 온도 센서를 이용한 7세그먼트출력
    온도 센서를 이용한 7세그먼트출력*1만들게 된 계기`2온도센서란?37세그먼트란? ... 4계획 - 세부 계획5개발 환경6문제점*온도센서를 이용한 7세그먼트와 LCD출력자취 하는 방의 온도를 알길이 없어서 온도를 안다면 그 온도에 따라 적절하게 방의 온도를 조절하고 옷입는 ... 상태를 정할수 있다만들게 된 계기*온도관리를 자동화온도 센서란그림 출처: www.easybyusb.co.kr*7세그먼트란0~9까지의 숫자를 디지털 방 식으로 출력*계획설계구현 및
    리포트 | 14페이지 | 3,000원 | 등록일 2012.06.17
  • 7-세그먼트
    이론(1) 7-세그먼트7세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를 표시하기 위해 만들어진 소자로 막대모양의 LED(Light Emitted Diode) 7개를 8자 ... 여기서 막대 모양의 LED 하나하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다. ... 실험목적(1) 7-세그먼트의 구조 및 동작 개념을 이해한다.(2) 7447 7-세그먼트 구동 디코더의 구조 및 사용 방법을 확인한다.(3) 7447 응용 회로를 구성하고 동작을 확인한다
    리포트 | 6페이지 | 1,000원 | 등록일 2008.04.15
  • 디지털회로 실험 7-세그먼트 결과 레포트 (시뮬레이션)
    7-세그먼트 디코더실험결과1. ... 실험과정 5.4의 결과에 따라서 진리표를 만들고, 7-세그먼트 LED의 출력을 사진으로 첨부하시오.4진수 : 0 , B : 0, A : 0일때의 7-segment 시물레이션4진수 : ... 두 번째 실험은 BCD/7-segment 디코더 드라이버를 연결 하고 7-segment LED를 연결해서 숫자를 확인하는 실험이었다.
    리포트 | 4페이지 | 1,000원 | 등록일 2013.12.09
  • 세그먼트(7segment) 실험보고서
    이 회로는 9490A의 BCD2) 7-세그먼트는 에노드 타입과 캐소드 타입으로 나뉘며, 에노드 타입은 포토다이오드의에노드 쪽이 공통으로 Vcc로 묶여 있으며 입력전압이 기준이 되어 ... 목적- 7segment Decoder Driver와 7segment LED 10진 표시 IC의 동작에 대해 실험한다.3. ... 이번 실험으로 7segment decoder의 동작 원리를 알게 되었다.- 이번 실험은 7segment Decoder Driver와 7segment LED 10진 표시 IC의 동작에
    리포트 | 7페이지 | 2,000원 | 등록일 2011.11.21
  • BCD-7세그먼트 디코더 논리회로 설계보고서
    설계 목표-7세그먼트에 표시기라는 소자 및 BCD 코드에 대한 이해- 이론을 바탕으로 BCD-7세그먼트 논리회로를 구성배경이론7세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를 ... 여기서 막대 모양의 LED 하나 하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다.에노드 공통형과 캐소드 공통형 7세그먼트 표시기 ... 설계 제목 - BCD-7세그먼트 디코더 논리회로 ?
    리포트 | 5페이지 | 1,000원 | 등록일 2014.06.03
  • 7세그먼트 LED 응용 프로그램 [마컴 예비레포트]
    실험 이론⑴ 7세그먼트 LED의 구조 및 종류7세그먼트는는 기본적인 출력장치로 사용하여 와ㅤㅏㅆ다. 7개의 LD+ED 세그먼트로 구성되며, 소숫점을 표현할 때 사용하는 dp(dot ... LED에는 1개의 소자로 1자리의 문자만을 표시하는 것에서부터 여러자리의 문자를 표시할 수 있는 것까지 다양한 모델이 있다.7세그먼트 LED는 각 세그먼트를 구성하는 LED의 회로구성에 ... 실험 목적AVR ATmega 128을 이용하여 7세그먼트 LED를 사용해 보는 기초적인 응용을 통하여 작동원리를 알아본다.2.
    리포트 | 16페이지 | 1,000원 | 등록일 2012.05.22
  • 디지털로직실험 BCD(binary coded decimal), 7세그먼트 수체계 레포트
    dip스위치에서 2진수 1000으로 설정했다면 디스g출력이고 MAN72 7-세그먼트의 g입력으로 연결된다는 걸 볼 수 있다. 7-세그먼트의 g입력을 다음 그림에서 확인해 보면 10번 ... 세그먼트로 표시해 주는 디지털 시스템 구성모의실험용으로 결합을 만들어 놓은 회로의 고장 진단.데이터 및 관찰 내용:입력출력2진수BCD수7-세그먼트 디스플레이00000********* ... 표의 출력 열에 7-세그먼트 디스플레이 출력에 어떤 영향이 있는지 표에 기록하여라. 각 결함은 다른 결함에 독립적이라고 가정하여라.
    리포트 | 9페이지 | 3,000원 | 등록일 2015.06.03
  • 초음파 센서 led 및 7세그먼트를 이용한 주차 보조 시스템
    - 초음파센서(SRF-04)의 초음파 신호를 받아 7세그먼트와 LED에 출력한다.초음파센서(SRF-04)의 거리 값을 토대로 7세그먼트와 LED에 숫자와 색상으로 알려줍니다. ... - 초음파센서(SRF04)의 초음파 신호를 받아 7세그먼트와 LED에 출력합니다.초음파센서(SRF-04)의 거리 값을 토대로 7세그먼트와 LED에 숫자와 색상으로 알려줍니다. ... ATmega128은 메인 CPU역할을 하며 초음파센서와 LED, 7세그먼트를 제어하는 역할을 합니다.
    리포트 | 16페이지 | 20,000원 | 등록일 2013.07.30
  • BCD-7세그먼트 디코더 논리회로 설계결과보고서
    위에 나타낸 진리표에서 확인할 수 있고, 그렇게 0에서부터 9까지 세그먼트상에 나타낼 수 있다. ... 결과사진abcdefg위에 나타낸 그림처럼 세그먼트 상에 입력을 주어서 숫자를 나타내게 하는 실험이었다. ... 세그먼트에 0이 표시되게 하기 위해서는 a부터 f까지의 값이 1이 되어야 하고 g만 0이 되어야 한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2014.06.03
  • 0~F 까지 7-세그먼트로 나타내기
    설계목적4개의 스위치로 7-세그먼트(cathode형)에 0~F 까지 표시하기 위해서는 먼저 진리표를 만들어야 하는데 진리표는 스위치 0000~1111 일때의 각 7-세그먼트의 LED ... 관련이론1. 7-세그먼트 LED(seven-segment LEDs)7-segment LED 에는 (a)그림처럼 A~G의 7개 LED로 구성된nt라 부른다. ... 설계과정-진리표 (7-세그먼트로 0~F 까지 표시)표시A B C Dg f e d c b a16진수00 0 0 00 1 1 1 1 1 13F10 0 0 10 0 0 0 1 1 00620
    리포트 | 22페이지 | 8,000원 | 등록일 2010.04.28 | 수정일 2022.10.25
  • 7-세그먼트 / 디코더 회로 설계
    설계실습 11. 7-segment / Decoder 회로 설계1. 목적7-segment와 Decoder를 이해하고 관련 회로를 설계한다.2. ... segment을 이용한 7-segment 구동 회로를 설계하라. ... 실험준비물직류전원장치 1대멀티미터 또는 오실로스코프 1대Bread board 1대저항 (330Ω) 8개Decoder(74LS47) 1개Hex Inverter (74LS04) 8개7-
    리포트 | 6페이지 | 1,500원 | 등록일 2009.11.30
  • [논리회로 및 실험] 3비트 입력 7-세그먼트 출력 디코더 실험 계획서
    3비트 입력 7-세그먼트 출력 디코더 실험 계획서실험 계획BCD-7-세그먼트의 구성에 대해 알아보고, 3비트의 입력을 받아 원하는 출력 값을 가지는 디코더의 구현을 통해 이해해본다. ... 세그먼트의 출력을 얻는 디코더를 구현하시오. ( 7-세그먼트는 애노드 공통(입력이 0일 때 다이오드의 상태 ON)이다.)실험에 쓰일 게이트:NOT 게이트: 입력 1개와 출력 1개가 ... 또한 2입력 - 1출력인 IC 칩에 맞춰 회로도를 2개짜리로 변경하여 작성해본다.실험에 사용될 예상 기기 및 칩, 기타 부품들Logic Lab Unit Model 9200저항7 세그먼트
    리포트 | 6페이지 | 1,500원 | 등록일 2016.07.13
  • 7 세그먼트 논리회로 구현
    (1) 7세그먼트 구성 과정ABC=000 001 010 011 100 101 110 111(2) 진리표3 비트 입력7세그먼트ABCX1X2X3X4X5X6X700************* ... 활용하여 그림으로 나타내었다.상위 4개의 세그먼트만을 이용하였다.② 진리표 작성 시 각 세그먼트 별로 구별하였다.③ 101,111은 블랭크로 나타냈다.④ 카노맵을 사용하여 논리식을 ... A'BC + AB'C' + ABC'X2 = A'B'C' + A'BC' +A'BC + AB'C' + ABC'X6 = A'B'C' + A'B'C + A'BC' + AB'C' + ABC'X7
    리포트 | 3페이지 | 1,500원 | 등록일 2008.10.07
  • VHDL을 이용한 7세그먼트 실험보고서입니다.
    TMP_D
    리포트 | 12페이지 | 2,000원 | 등록일 2011.06.22
  • 7세그먼트 LCD셀 제작을 위한 마스크 설계
    여기서 막대 모양의 LED 하나하나를 세그먼트라고 하고, LED가 7개 사용됨으로 세븐세그먼트 표시기라고 이름이 붙여졌다.7세그먼트란?PART2. ... 조건 : 7세그먼트와 한 개의 도트에 대한 전극과 두 개의 공통 전극을 포함해야 한다. ... ITD.7세그먼트 LCD 셀 제작을 위한 마스크설계Contents 1. 문제의정의 및 설계목적 2. 이론적 고찰 3. 설계사양 및 일정 4. 1차 회의록 5.
    리포트 | 22페이지 | 3,000원 | 등록일 2011.05.28
  • 0~F 까지 나타내는 7 - 세그먼트 (SEVEN - SEGMENT) 결과 보고서
    실험 보고서7-세그먼트전자공학과1. 실험주제→ 0~F 까지 7 세그먼트 구성하여 나타내기2. ... 느낀점→ 이번 실험에서는 0~F 까지 7-세그먼트를 구현하는 실험이었다. ... 실험목표→ 4개의 스위치를 사용하여 0~F까지의 7-세그먼트를 구성하기 위해서 진리표를 구성한후 그 진리표에 맞게 회로를 구성하여 시뮬과 동작결과를 나타내어라.3.
    리포트 | 17페이지 | 1,500원 | 등록일 2013.11.16
  • 7세그먼트를 이용한 전압측정기 레포트
    위와는 다르게 실제 우리가 사용한 7-Segment는 10개의 pin이 있다. ... 측정하기 위한 전압을 7107에 인가하면 IC내부에 내장된 컨버터를 통해 각 7-Segment를 구동시킨다(전압이 표시됨). ... 이 IC를 쓰는 이유는 위에서도 설명했다시피 ICL7107에는 -5V의 구동 전압이 필요하기 때문이다.-7 Segment소수점(DP)까지 포함하여 총 8개의 LED를 배열 한 것이다
    리포트 | 6페이지 | 1,000원 | 등록일 2010.03.25
  • [디지털회로]7세그먼트 동작원리
    7-Segment 동작원리7-Segment란 Bar형태로 된 LED(Lighting Emitting Diode, 발광다이오드)를 7개 연결해 놓은 것이기 때문에 7-Segment의
    리포트 | 1페이지 | 1,000원 | 등록일 2008.12.08
  • 디지털실험 설계- BCD 7 세그먼트 설계보고서
    (출력 1~7 = a~g) 세그먼트 표시기를 살펴보면 7값이 나오게 된다. ... 되어 있는데, 여기서 막대 모양의 LED 하나하나를 세그먼트 라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다는 것을 깨달았다.세그먼트 표시기는 애노드 공통형 ... ◈ BCD-7 세그먼트 디코더-논리회로 설계결과-2조 2008065321권태영1.
    리포트 | 5페이지 | 1,000원 | 등록일 2012.03.09
  • LED, 7세그먼트, 텍스트 LCD 디바이스드라이버
    LED/7세그먼트/텍스트 LCD 디바이스드라이버1. ... segment◈ 7세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자나 영문자를 표시하기 위해 만 들어진 소자.◈ 7세그먼트 표시기는 막대모양의 LED(Light Emitted Diode ... 여기서 막대 모양의 LED 하나하나를 세그먼트 (segment)라고 하며, LED가 7개 사용됨으로 7세그먼 트 표시기라고 이름이 붙여짐.◈ 7segment 한 개는 8개의 LED
    리포트 | 2페이지 | 1,000원 | 등록일 2009.10.25
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 08일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:55 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기