• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,286)
  • 리포트(2,102)
  • 시험자료(103)
  • 자기소개서(37)
  • 방송통신대(31)
  • 논문(7)
  • 서식(3)
  • ppt테마(2)
  • 이력서(1)

"7세그먼트" 검색결과 241-260 / 2,286건

  • 독일의 공업
    고성능과 럭셔리함을 강조합니다.모델: BMW 3 시리즈, 5 시리즈, 7 시리즈, X5, X7, M 시리즈 등.메르세데스-벤츠 (Mercedes-Benz)특징: 세계적으로 럭셔리한 ... Q8, R8, e-tron 시리즈 등.폭스바겐 (Volkswagen)특징: 다양한 세그먼트의 승용차를 제조하며, 폭넓은 소비자 층을 대상으로 합니다. ... 북미, 유럽, 아시아 등 주요 시장에서 고급차 및 대중차 세그먼트 모두에서 인기를 끌고 있습니다.제조 공정과 산업 클러스터:독일 남부 바이에른 주와 바덴뷔르템베르크 주는 주요 자동차
    리포트 | 5페이지 | 1,000원 | 등록일 2024.06.01
  • 메카트로닉스 마이크로프로세서 Shift Register
    -> 전원 켰을 경우 LOW로 설정i=0;while (1){ibcd=(i/10)*16+(i%10); -> bcd코드로 바꾸어 전송shiftout(ibcd);RCK=1;RCK=0; 7세그먼트에 ... 100) i=0; -> I는 0부터 99까지 카운터delay_ms(300); -> 0.3초씩 증가3.실험 결과 고찰74HC595 쉬프트레지스터를 통해 0.5초, 0.3초 간격으로 7세그먼트에 ... 출력포트 확장을 통해 7-segment에 0.3초 간격으로 0부터 99까지 증가(심화)- 실습에 사용된 핵심 부품과 프로그램마이크로컴퓨터 싱글보드74HC59574LS47 IC7-segmentCodeVisionAVR
    리포트 | 8페이지 | 2,000원 | 등록일 2021.06.11
  • [대학물리] 수면파&줄파 생성 실험 PPT
    공명주파수 ( 실험측정값 ) hz 파장 (m) 공명주파수 ( 이론값 ) hz 퍼센트오차 (%) 파동속도 (m/s) 1 7.4 2 7.3 1.3 14.8 2 14.4 1 14.7 2 ... 수면파 줄파 생성 실험 6 조목 차 수면파 생성 1) 실험장비 2) 반사 3) 굴절 4) 회 절 5) 간섭 6) 파동속도 7) 유의사항 2. ... 11.3 4 20.2 1/2 20.9 1 10.1줄의 진동 질량 = 100 g, 줄 길이 (L) = 100 cm , 선형밀도 = 0.0045 kg/m, 장력 =0.98 kg/m2 세그먼트
    리포트 | 43페이지 | 2,000원 | 등록일 2021.12.08
  • 메카트로닉스 마이크로프로세서
    이전 실험을 통해 7세그먼트에 대한 이해도를 높일 수 있었던 덕분에 이번 실험까지도 수월하게 진행할 수 있었다고 생각합니다. ... 세그먼트를 통해서 LED를 깔끔하게 연결할 수 있었고, SW1 버튼을 누르면 일시정지하게 되는 결과를 얻을 수 있었습니다. ... 있어 더 빨리 문제점을 찾을 수 있는 방법이라고 염두해두고 진행하게 될 것입니다.두 번째 실습으로는 0.2초 간격으로 LED가 점멸하고 버튼을 누르면 정지하게 되는 실습이었습니다. 7-
    리포트 | 7페이지 | 2,000원 | 등록일 2021.06.10
  • 분포 시각화 실생활 사용 유형_고려사이버대학교
    식수 출처 분포, 2015년 가구별 배변시설 유형 → discrete data2) 차트 유형: 누적 막대 그래프3) 시각적 속성① 색: 첫 번째 그래프는 5가지, 두 번째 그래프는 7가지 ... , 세 번째 그래프는 4가지 색을 사용② 텍스트: 차트 제목과 각 세그먼트별 분포, 범례를 글자 크기를 다르게 하여 표현3. ... 데이터 유형: 2016-2017년 그룹화된 사망 원인 → discrete data2) 차트 유형: 차트 그래프3) 시각적 속성① 색: 3가지 색을 사용② 텍스트: 차트 제목과 각 세그먼트
    리포트 | 7페이지 | 2,000원 | 등록일 2021.06.16 | 수정일 2024.04.15
  • 디시설 - 4비트 가산감산기 , BCD 가산기
    입력으로는 0~9의 값 2개를 받고 합을 구해서 BCD로 변환시켜 7-세그먼트 디스플레이에 출력시켰다. ... (예, 5+7 = 12, carry=1, bcd = (12+6) mod 16 = 2 -> 세그먼트 출력 : 12)result_value : result_value는 integer형과 ... (예, 5+4=9, carry =0, bcd=0 -> 세그먼트 출력 : 09)만약 합이 10보다 큰 경우, 즉 10의 자리수도 표현해야 하는 경우, carry는 1을 할당하고 bcd에는
    리포트 | 10페이지 | 1,000원 | 등록일 2019.07.20
  • 컴퓨터과학개론 , 다음 8문제 중에서 반드시 5개만을 선택해서 정리하여 제출하시오.
    조합회로와 순차회로의 개념과 종류를 나열하고 설명하시오.7. 데이터베이스 시스템의 3단계 구조와 관련해서 스키마에 대해 설명하고 비교하시오.참고문헌2. ... 이 세그먼트를 블록으로 사용하는 기법이다. ... 세그멘테이션은 메모리를 서로 크기가 다른 논리적인 블록 단위인 '세그먼트(segment)'로 분할하고 메모리를 할당하여 물리 주소를 논리 주소로 변환하는 것을 말한다.
    방송통신대 | 6페이지 | 5,000원 | 등록일 2020.11.17
  • 18. 다이오드 회로와 발광 다이오드 회로-결과-기초전자전기실험2
    [실험 2]표 18.2 7세그먼트 실험표시 숫자접지 핀01 10 8 5 2110 821 10 3 4 531 10 3 8 542 3 10 851 2 3 8 562 3 4 8 572 1 ... 한자리 수의 숫자만 표시하기 때문에 6,7번은 사용할 필요가 없다. ... 10 881 2 3 4 5 8 1091 2 3 10 8※ 뒷면에 검토 및 보고 사항을 작성할 것.7-segmentFeaturesElectro-Optical Characteristics
    리포트 | 4페이지 | 1,000원 | 등록일 2021.12.29
  • 광소자의 특성 예비레포트
    (1)적색, 녹색 및 황색 LED에 대한 실험 data를 얻는다.(2) 7-세그먼트(seven-segment) 표시기로 숫자를 표시한다.(3) 포토 커폴러 (photo coupler ... 레이저 다이오드(ILD) 등이 있다.광전자방출효과를 이용한 광전증폭관과 반도체의 양자효과를 이용한 반도체수광소자 등이 있다.(2) 그림 5-14에서 LED전류를 계산하여라(3) 7세그먼트 ... 세그먼트 표시기이다.
    리포트 | 7페이지 | 1,000원 | 등록일 2021.09.03
  • 프로젝트기획서 및 회사소개서
    제목을 입력하세요제목을 입력하세요 Part 3, 내용을 입력하세요 78% 내용을 입력하세요 65% 내용을 입력하세요 44%제목을 입력하세요 Part 3, 62.9 75.2 41.7 ... 내용을 입력하세요 내용을 입력하세요 내용을 입력하세요제목을 입력하세요 Part 3, 사업 모형 The Business Model 가치 제안 핵심 활동 핵심 파트너십 고객 관계 고객 세그먼트
    ppt테마 | 27페이지 | 2,000원 | 등록일 2023.07.27
  • 한기대 디지틀시스템 설계 및 실습 과제4 동전자판기(보고서 및 소스 포함)
    동전 버튼을 누르면 7-세그먼트에 금액이 표시되고 각 버튼을 누를 때마다 합산된다. 최대 4000원까지 넣을 수 있으며 이 이상 되면 동전이 반환된다.
    시험자료 | 2페이지 | 6,000원 | 등록일 2020.11.04 | 수정일 2020.11.07
  • 마이크로 프로세서 시계 프로젝트
    PORTC = 세그먼트에 나타나는 값을 정해줍니다.PORTG = 세그먼트의 위치를 나타냅니다.3. ... 설계(설계 과정 설명)1.요구 기능a. 7-segment의 각 자리 수에 지정된 시간을 표시한다.b. ... 개요■내장 지연함수를 사용하여 디지털시계를 제작●4자리의 7-segment(FND)에 다음과 같이 표시함(분) (10초) (1초)·(0.1초)○각 자리 수에 지정된 시간을 표시하고,
    리포트 | 7페이지 | 1,500원 | 등록일 2020.04.29
  • 디지털공학 레포트 모음
    이 때 선들은 high 상태에서 활성이다.만약 AND 대신에 NAND 게이트를 사용하면, 출력선들이 low 상태에서 활성인 회로를 구현할 수 있다.● 7-segment LED- 7세그먼트는 ... 여기서 각각의 LED는 독립적으로 점등되며 점등된 LED를 조합하면 여러 가지 점등패턴을 가진다.fecdbgafecdbgaabdefgcCC공통 캐소드 형 7 세그먼트CA공통 애노드 ... 형 7 세그먼트bar { a}bar{b}bar{c}bar{d}bar{e}bar{f}bar{g}숫자점등 LED0a, b, c, d, e, f1b, c2a, b, d, e, g3a, b
    리포트 | 98페이지 | 5,000원 | 등록일 2021.05.16
  • 학점은행제 한기대 평생교육원 네트워크I 과제
    스위치와 브리지는 각각 PC와 스위치, AP를 연결하는 역할과 네트워크 세그먼트 간을 연결하여 PC 간의 통신을 제공하는 역할을 맡는다.2) 라우터라우터는 목적지 IP 번호를 가지고 ... OSI 7계층에 대한 이해우선 각 장비에 대해 알아보기 이전에, 먼저 OSI 7계층에 대한 이해가 먼저 제시되어야 한다고 생각한다. ... 데이터의 부호화와 암호화, 복호화를 규정한 표현 계층7. 응용 서비스와 인터페이스를 제공하는 응용 계층이처럼 OSI 네트워크 계층은 7단계로 구성되어 있다.Ⅱ.
    리포트 | 3페이지 | 3,000원 | 등록일 2021.05.18
  • 치과 의료기기 산업분석
    시간이 지나면 자연스럽게 과점화가 될 수 밖에 없는 구조 - 성장률이 높은 수준은 힘듦 7. ... 국내 주요 업체들은 Value 세그먼트 가격이 제품 선택에 중요한 요소 - 한국 업체는 프리미엄 브랜드 보다는 50% 저렴하게 , 로컬 업체들의 저가 임플란트 보다는 높게 책정 1 ... 때문에 완전히 대체할 전망치과용 임플란트 시장 치과용 임플란트 시장은 상위 제품들 간 품질 차이가 크지 않고 , 기술적으로 진입 장벽이 높지 않음 글로벌 상위 업체들은 Premium 세그먼트
    리포트 | 21페이지 | 3,000원 | 등록일 2022.03.14
  • 창업기획서(비즈니스모델)
    이를 통해 축적된 장기 구매 소비자들은 타사의 여러 화장품을 홍보 및 판매대행으로 새로운 수익까지 창출할 수 있는 귀중한 자산이 될 것입니다.1.고객세그먼트-고객세분화 유형 -> (
    리포트 | 5페이지 | 1,000원 | 등록일 2021.07.17 | 수정일 2021.10.04
  • A+ 중앙대 아날로그및디지털회로설계실습(결과)10. 7-segment Decoder 회로 설계
    실습 10. 7-segment / Decoder 회로 설계(학생이름, 학번, 학수번호, 실험조의 번호, 실험조원의 이름, 실험날짜, 제출날짜)요약 :7-segment와 Decoder를 ... 서론7-segment는 숫자를표시하는 7개의 LED와 소수점을 나타내는 1개의 LED로 구성됨 디코더(Decoder)는 2진부호, BCD부호, 기타 여러 가지 부호들을 부호가 없는 ... 설계실습 내용 및 분석10-4-1 7-Segment 특성 확인주어진 7-Segment의 Type(Anode Common type, Cathode Common type)을 확인하고 각
    리포트 | 3페이지 | 1,000원 | 등록일 2022.09.10
  • 대한항공(KOREANAIR) 전기기술직 합격자소서 입니다
    새로운 방식으로 밋밋한 프로젝트를 재밌게 만들어보기 위해서 세그먼트를 움직여 LCD에 출력값을 움직이게 만들어 보자 제안하였습니다. ... 제가 맡은 부문은 DTMF 칩을 달아 휴대폰 버튼을 눌렀을 때 나오는 주파수를 디지털 신호로 변환하는 Atmega 16에 따른 0~7까지의 입출력 제어를 설계하는 것이었습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2023.11.09
  • 대한항공(KOREANAIR) 전기직 합격자소서
    보고서 작성, 회로설계 및 코딩제어로 나눴습니다.제가 맡은 부문은 DTMF 칩을 달아 휴대폰 버튼을 눌렀을 때 나오는 주파수를 디지털 신호로 변환하는 Atmega 16에 따른 0~7까지의 ... 다양한 프로젝트 중에서 Gas Station이라는 주제를 택했습니다.새로운 방식으로 밋밋한 프로젝트를 재밌게 만들어보기 위해서 세그먼트를 움직여 LCD에 출력값을 움직이게 만들어 보자
    자기소개서 | 2페이지 | 3,000원 | 등록일 2023.11.09
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 19일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:10 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기