• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,283)
  • 리포트(2,100)
  • 시험자료(103)
  • 자기소개서(37)
  • 방송통신대(30)
  • 논문(7)
  • 서식(3)
  • ppt테마(2)
  • 이력서(1)

"7세그먼트" 검색결과 201-220 / 2,283건

  • 아날로그 및 디지털회로설계실습 실습10(7-segment decoder 회로설계)예비보고서
    설계실습10 예비보고서(7-segment/decoder 회로설계)10-3 설계실습 계획서10-3-1 7-segment/decoder 진리표입력출력ABCDabcdefgdisplay0000 ... 이를 바탕으로 회로를 설계한 decoder가 7HC47이다.10-3-3 7-segment 구동 회로 설계74HC47이 PSPICE에 존재하지 않아 74HC42 BCD to decimal ... common anode형이므로 CA타입의 7-segment를 decoder의 출력핀에 저항과 함께 연결하면 된다.
    리포트 | 3페이지 | 1,000원 | 등록일 2020.09.24
  • SK텔레콤 시장조사직 합격자소서
    문화적 요인'이라는 새로운 연관성 발견사람마다 7점 척도에서 다른 유형을 보이는 '문화적 요인'이라는 뜻밖의 유의미한 영향을 도출하였습니다. ... 따라서 매우 짧은 시간 안에 데이터 분석으로 고객 세그먼트를 해야 하는 어려운 상황이었습니다. ... [신뢰성 있고 창의적인 고객 세그먼트 실천]고객의 구매 패턴을 분석한 결과 크게 "엔터테이너족, 혼족, 푸드스팩트, 카트허즈밴드"로 고객을 나눌 수 있었습니다.
    자기소개서 | 6페이지 | 3,000원 | 등록일 2023.11.20
  • [디지털공학개론] 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오
    초나 분을 나타내는 6진 또는 10진 카운터들은 BCD-to-7세그먼트 디코더(TTL 7447)를 이용하여 값을 표기할 수 있다. ... 그리고 12진 카운터의 값이 10 or 11일 경우 TTL-7447 디코더와 7세그먼트 표시기로 표시하기에는 하나의 자리로 표시되어 알아보기 힘들다. ... 카운터를 입력 Ei가 0일 경우에 동작하지 않고 현재값을 유지하고 있으면 된다.시계에 필요한 시간을 표시하기 위해 디코더를 서게 해야 하는데 설계한 카운터들의 값이 눈에 보이도록 7세그먼트
    방송통신대 | 7페이지 | 3,000원 | 등록일 2021.03.23
  • 명지대_마케팅과ICT융합기술 과목_평점A_수업내용정리과제
    응용 프로그램(프로세스)간에 메시지를 전달하며 이를 위해 포트 번호를 이용한다.세그먼트란 TCP에서 전달되는 데이터를 말한다.세그먼트는 인터넷과 라우터를 거치기 때문에 IP가 캡슐화하여 ... 포트목적지 포트▲TCP헤더:20바이트▼순서 번호수신 확인 번호헤더 길이(HLEN)예약(Reserved)URGACKPSHRSTSYNFIN윈도 크기체크섬긴급 포인터옵션데이터▲ TCP 세그먼트의 ... OSI 7계층(기능, 역할, 구조 등)OSI 7계층 프로토콜이란?
    리포트 | 16페이지 | 2,000원 | 등록일 2023.01.25
  • 이마트 경영&기획 자기소개서
    창의적인 문제 해결 경험7.1 새로운 문제 해결을 위한 접근 방식7.2 기존과 다른 방식의 문제 해결 경험8.공동과업에서의 어려움 극복 사례8.1 공동과업에서 발생한 어려움8.2 극복을 ... 이를 위해 시장 조사와 소비자 인사이트를 분석하여 제품의 고객 세그먼트를 정의하고, 제품의 경쟁우위를 확보할 수 있는 마케팅 전략을 수립하였습니다. ... 환경변화 및 조직특성5.2 회사의 장점과 개선해야 할 점6.인재상에 맞는 직원으로서의 준비와 기여6.1 인재상에 부합하기 위한 노력과 개발6.2 최근 3년 이내의 능력 개발 사례7.
    자기소개서 | 17페이지 | 3,000원 | 등록일 2023.06.26
  • 생능출판 컴퓨터네트워크 개정3판 6장 연습문제 해답
    .^3)^4)(^5)(^6)^7^8^1.^2.^3)^4)(^5)(^6)^7^8..FILE:BinData/image1.bmp..FILE:Contents/section0.xmlChapter6 ... 나누고 각 세그먼트에 TCP 헤더를 추 가네트워크 계층 : IP 프로토콜이 TCP 세그먼트를 IP 데이터그램으로 캡슐화하고 IP 헤더 를 추가데이터 링크 계층 : IP 데이터그램을 ... NAT-> NAT은 외부 네트워크에 알려지는 공인 IP 주소와는 다른, 사설 IP 주소를 사용하는 내 부 네트워크에서 IP주소를 변환하여 주는 것을 말한다.7.
    시험자료 | 3페이지 | 2,000원 | 등록일 2024.06.12
  • [디지털공학개론] 1. 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오. 2. 4가지 기본형 레지스터의 분류에 속하는 IC들을 정리하시오.
    초나 분을 표현하는 6진수 또는 10진수 카운터는 BCD-to-7 세그먼트 디코더 TTL 7447을 사용해 값을 나타낼 수 있다. ... 또한 12진수 카운터의 값이 10 또는 11일 때는 TTL-7447 디코더와 7-세그먼트로는 표시할 한 자릿수로 표시되기 때문에 알아보기 어렵다. ... 세그먼트를 사용하여 표현되어야 한다.
    리포트 | 5페이지 | 2,000원 | 등록일 2022.06.30
  • 디지털 시계 설계 설계보고서(충북대 및 타 대학교)
    작동원리1) 디지털 시계의 구성에 나타낸 디지털 시계의 전테 블록도를 보면 시간 표시를 위한 7세그먼트 표시기를 비롯하여 BCD-to-7세그먼트 디코더(TTL 7447), 12시간 ... 세그먼트 디코더 : 숫자 표시 전용 장치③ 시간을 표시하기 위한 디코더 설계- 방법 1 : 디코더는 12진 카운터의 값을 입력으로 받아 직접 7-세그먼트 표시기를 구동할 수 있는 출력을 ... 만들어내도록 설계하는 경우- 방법 2 : TTL 7447은 0에서 9사이의 값을 입력받을 경우 7-세그먼트 표시기에 우리가 실제 사용하는 숫자 모양으로 표시할 수 있도록 해주는데
    리포트 | 18페이지 | 2,500원 | 등록일 2020.11.19 | 수정일 2020.12.04
  • (전자회로실험) 광전소자 결과 보고서
    또한 A, B, C, D와 G의 음극을 접지하면 3을 얻는다. 7-세그먼트 배열은 각기 개개의 세그먼트를 도통하기 위하여 양극 전압을 사용하는 공통-음극 형태가 유용하다.광다이오드역방향으로 ... 실험목적1) 적색과 녹색 발광 다이오드(LED)들에 대한 자료를 얻는다.2) 7-세그먼트(seven-segment) 지시기로 숫자를 표시한다.3) 광결합기를 통하여 신호를 전달한다.2 ... 가장 일반적인 LED 배열은 그림 4-3(a)에서 보여준 7-세크먼트 표시기이다. 이 표시기는 7개의 구형 LED를 포함한다.
    리포트 | 5페이지 | 1,800원 | 등록일 2019.09.08 | 수정일 2021.08.03
  • 중소기업창업론 ) 창업아이디어 평가기법인 RWW 분석과 창업기업의 사업 수행 방식을 분석할 수 있는 비즈니스 모델 캔버스 및 그 구성요소에 대해 서술하시오.
    영향을 창출하는데 있어서 필요한 사회적인 측면도 고려하기 위한 가치를 창출하는 섹션으로써 사회적인 가치 제안과 영향 측정, 고객 가치 제안, 수익자, 고객, 중재 유형, 채널 등의 7개의 ... .- 비즈니스 모델 캔버스 및 구성요소비즈니스 모델 캔버스란 기업이 가치를 창출하고 전파하는 방법을 합리적이고 체계적으로 묘사한 분석 도구로 고객 세그먼트와 가치제안, 채널, 고객관계 ... 고객세그먼트개인과 고객이 가치를 제공 받길 원하는 소비자의 세분화된 일치는 창업기업의 선택에 있어서 가장 최우선적으로 고려되어져야하는 부분이며, 일반적으로는 개인과 창업기업 사이의
    방송통신대 | 7페이지 | 5,000원 | 등록일 2022.01.21
  • VHDL를 이용한 FPGA설계
    작년 말 비트코인 광풍으로 한국은 몸살을 앓았다.학생 직장인 너나 할거 없이 대부분 뛰어들었으며 빨리 시작한 사람들은 돈을 땄겠지만 그렇지 못하고 돈을 잃은 사람도 훨신 많기에 이러한 도박성을 가진 가상화폐의 경각심을 알리기위해 제작하게 되었다.
    리포트 | 16페이지 | 2,000원 | 등록일 2021.09.26
  • 고분자 재료설계 part 1 레포트
    따라서 각 세그먼트는 임의의 방향의 단위 벡터로 설명할 수 있다.[7]-사슬 팽윤비: 고분자 사슬은 용액, 용매 종류에 따라서 크기가 달라지고 이를 사슬 팽윤비 (chain expansion ... 구성된다.이 중합체 모델은 각 연속된 세그먼트 쌍 사이에 완전한 유연성을 제공하므로 열평형에서 각 세그먼트가 어떤 방향으로든 독립적으로 가리킬 수 있다. ... - 사슬 말단 간 거리의 계산: 평균 말단 간거리 = 거리의 제곱평균제곱근, o = nℓ2 ℓ : 상수, n : 고분자의 분자량[7]- 취보 모델 (Random flight model
    리포트 | 15페이지 | 5,000원 | 등록일 2021.09.14 | 수정일 2022.12.18
  • 부경대 컴퓨터공학 컴퓨터네트워크 중간고사정리본
    사용TCP연결은 파일을 수신할 컴퓨터가 초기화Push 프로토콜서버 서버Ex) 송신메일서버가 파일수신 메일서버로 보낸다TCP 연결은 파일을 보내는 컴퓨터에서 초기화메시지 포맷제한없음7bit ... 손실이면 그 뒤 세그먼트는 보관, 현재까지 수신된 세그먼트 중 연속된 세그먼트로의 마지막만 누적확인 응답을 한다.: 비 연속적 수신된 세그먼트(중간 손실) 이면 중간 손실부터 다시 ... 재전송을 시작되는 등 심각한 성능 저하 발생CF) 선택적 확인 응답(Selective Ack) : selective repeat: 여러 세그먼트 중 손실된 세그먼트만 선택적으로 확인응답하는
    시험자료 | 20페이지 | 5,000원 | 등록일 2021.01.14 | 수정일 2023.06.22
  • (경영전략론) 기업을 한 개 선택하여 다음의 방식으로 각각 분석하시오
    자원Key Resource- 기업의 사업 운영을 위한 핵심자원기업의 물류망과 유통망, 식품상품 조달망7핵심 활동Key Activities- 기업의 고객 가치 제안을 위한 핵심활동제품 ... 간의 관계앱의 고객 프로필에 기반한 개별적이고 개인적인 관계의 형성5수익원Revenue streams- 고객 세그먼트에 의한 기업의 소득마켓컬리 플랫폼에서의 제품 판매 소득6핵심 ... 서비스를 기반으로 한 구매 플랫폼 및 소통 플랫폼의 제공구매 전 타깃 마케팅 및 구매 후 CRM과 고객 지원 실시4고객 관계Customer Relationship- 기업과 고객 세그먼트
    방송통신대 | 7페이지 | 3,000원 | 등록일 2021.08.24
  • verilog-스탑워치(stopwatch)A+자료 코드및 레포트
    Simulation 결과 출력은 위의 3가지 신호와 10진 숫자 출력, 7-Segment 출력이 모두 보이도록 한다. ... Simulation 결과 출력은 위의 3가지 신호와 10진 숫자 출력, 7-Segment 출력이 모두 보이도록 한다.
    리포트 | 6페이지 | 9,000원 | 등록일 2019.08.24 | 수정일 2023.11.02
  • 신세계몰 SSG 마케팅 전략 분석
    이동 인구 통계적 변수 16STP 전략분석 4 크게 사회계층 및 소득에 따른 세그먼트 작게는 연령 , 성별 , 라이프스타일 에 따른 세그먼트 S egmentation 174 T argeting ... 88,599 3,352 20,172 단위 : 백만원 12내부환경분석 3 3.2 비재무적 지표 상표 애호도 브랜드 이미지 평판 순위 : 랭키닷컴 종합쇼핑몰 분야 1 위 서울영상광고제그랑프리 7 ... 6외부환경분석 2 2.1 일반환경분석 2.1.3 정치적 분석 2.1.4 기술적 분석 공정 거래법 : 대기업의 경우 내부 거래 금액 기준이 있음 4 차 산업혁명 : 기존 산업과 서비스에 융합 7외부환경분석
    리포트 | 34페이지 | 2,500원 | 등록일 2021.07.20
  • 아날로그 및 디지털회로설계실습 10 조합논리 회로의 예 (7-segmentDecoder 회로 설계) 과제
    세그먼트의 허용 전류를 넘지 않도록 하기 위해 저항을 연결해 준다.7-Segment LED가 Common Anode type일 때, 다음의 경우에 대해 LED가 어떻게 출력되는지 ... 조합논리 회로의 예 (7-segment/Decoder 회로 설계) 과제7-segment LED의 특성을 확인하였을 때 Common Cathode type이라면, 7-Segment LED의 ... 쓰시오-BI/RBO 핀이 Low 일 경우BI/RBO 핀이 Low 일 경우 어떠한 입력에 대해서도 모든 7개의 segment가 꺼져있다.
    리포트 | 1페이지 | 1,000원 | 등록일 2021.09.02
  • 중소기업창업론 ) 창업아이디어 평가기법인 RWW 분석과 창업기업의 사업 수행방식을 분석할 수 있는 비즈니스 모델 캔버스 및 그 구성요소에 대해 서술하시오.2
    과거 LG와 IBM이 브맺는 경우도 있지만, 경쟁 기업과 맺는 경우도 많은데 이는 경쟁을 통해 각 기업에서 배울 점을 모색하기 위함일 것이다.(7) 핵심 자원핵심 자원은 인적 자원이나 ... 혹은 디자인이나 기업은 이러한 고객의 니즈를 잘 파악하고 이에 맞는 가치를 제안해야 한다.(2) 고객 세그먼트고객은 우리 사업이 목표로 두고 있는 고객 집단을 뜻한다. ... 비즈니스 모델 캔버스 구성요소는 RWW 분석의 특정 세부 항목과 긴밀하게 관련되므로 해당 연관성을 분석하여 서술하시오.[(1) 가치제안(value proposition), (2) 고객 세그먼트
    방송통신대 | 7페이지 | 5,000원 | 등록일 2022.01.26
  • 서울권역 e러닝/고객관계관리/2020년2학기/기말시험범위 정리
    유통7. 다음 중 금융산업의 CRM전략이 갖는 주요 특징으로 올바른 것은? ... 일반고객, 이탈고객과 유지고객 등을 분류할 수 있음(6) CRM성과 목표의 설정- 다변량 통계분석 기법: 다양한 원인변수를 설정하고, 설득력 있는 목표치를 추론할 수 있는 방법론 제공(7) ... 어떤 업무에서 어떤 절차을 결정함군집분석과 같은 머신러닝 기법으로 탐색적 세분화를 수행할 경우 세그먼트 기준점은 자동으로 결정됨(2) 세그먼트 기준점 조정: 선정된 세그먼트 기준점은
    시험자료 | 61페이지 | 6,000원 | 등록일 2020.12.14
  • OSI 7계층에 대하여
    전송 계층에서 데이터 단위는 세그먼트이다. 4 계층에서는 세션 계층에서 데이터를 가져 와서 계층 3으로 보내기 전에 세그먼트로 분할하는 것이 포함된다. ... 과목명 : 정보통신개론과제 주제 : OSI 7계층에 대하여 계층별 서술하시오.OSI 7계층에 대하여OSI (Open Systems Interconnection)이란? ... OSI는 7 개의 계층으로 구성되며 각 계층은 특정 네트워크 기능을 수행한다.
    리포트 | 5페이지 | 2,500원 | 등록일 2020.10.18
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 08일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:29 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기