• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,286)
  • 리포트(2,102)
  • 시험자료(103)
  • 자기소개서(37)
  • 방송통신대(31)
  • 논문(7)
  • 서식(3)
  • ppt테마(2)
  • 이력서(1)

"7세그먼트" 검색결과 221-240 / 2,286건

  • 서울권역 e러닝/고객관계관리/2020년2학기/기말시험범위 정리
    유통7. 다음 중 금융산업의 CRM전략이 갖는 주요 특징으로 올바른 것은? ... 일반고객, 이탈고객과 유지고객 등을 분류할 수 있음(6) CRM성과 목표의 설정- 다변량 통계분석 기법: 다양한 원인변수를 설정하고, 설득력 있는 목표치를 추론할 수 있는 방법론 제공(7) ... 어떤 업무에서 어떤 절차을 결정함군집분석과 같은 머신러닝 기법으로 탐색적 세분화를 수행할 경우 세그먼트 기준점은 자동으로 결정됨(2) 세그먼트 기준점 조정: 선정된 세그먼트 기준점은
    시험자료 | 61페이지 | 6,000원 | 등록일 2020.12.14
  • 중소기업창업론 ) 창업아이디어 평가기법인 RWW 분석과 창업기업의 사업 수행방식을 분석할 수 있는 비즈니스 모델 캔버스 및 그 구성요소에 대해 서술하시오.2
    과거 LG와 IBM이 브맺는 경우도 있지만, 경쟁 기업과 맺는 경우도 많은데 이는 경쟁을 통해 각 기업에서 배울 점을 모색하기 위함일 것이다.(7) 핵심 자원핵심 자원은 인적 자원이나 ... 혹은 디자인이나 기업은 이러한 고객의 니즈를 잘 파악하고 이에 맞는 가치를 제안해야 한다.(2) 고객 세그먼트고객은 우리 사업이 목표로 두고 있는 고객 집단을 뜻한다. ... 비즈니스 모델 캔버스 구성요소는 RWW 분석의 특정 세부 항목과 긴밀하게 관련되므로 해당 연관성을 분석하여 서술하시오.[(1) 가치제안(value proposition), (2) 고객 세그먼트
    방송통신대 | 7페이지 | 5,000원 | 등록일 2022.01.26
  • 시립대 전전설2 [8주차 예비] 레포트
    Purpose of this Lab7세그먼트의 동작을 익히고 Verilog HDL 언어를 사용하여 7-segment, Piezo 등 주변 디지털 장치 제어를 하고 실제 실험에서 코딩한 ... 코드를 키박스에 넣어서 원하는 세그먼트 숫자와 부저의 소리가 나는지 확인하는 실험나. ... .8개의 LED의 조합으로 문자 표시가 이루어지기 때문에 7-Segment를 제어하기 위한 디코더의 설계가 필요하다7-Segment Decoder7-Segment Decoder2.
    리포트 | 8페이지 | 2,000원 | 등록일 2019.07.29
  • [예비레포트] 숫자표시기 아두이노
    그림3이 정논리 동작이라 한다면 그림4는 LOW일 때 켜지기 때문에 부논리동작이라 할 수 있다.7-세그먼트 디코더/구동기 - BCD코드가 주어지면 이것으로 7segment display의 ... 여덟개의 LED를 내장하고 있다.숫자표시기는 그림2와같이 모든 다이오드의 아노드가 공통으로 묶여있는 공통캐소드형과 모든 다이오드의 캐소드가 공통으로 묶여있는 공통아노드형이 있다.7세그먼트 ... 세그먼트 표시기 – 숫자표시기는 그림1과 같이 일곱개의 발광다이오드로 이루어진 표시창으로 일곱개의 LED a~g중 어느 것들이 켜지느냐에 따라 0~9까지의 숫자가 나타난다.
    리포트 | 5페이지 | 1,000원 | 등록일 2019.08.22
  • [디지털 공학 실험] 7-seg로 디지털 시계 만들기 보고서
    실험 목적7조제출일자팀원이름(학번)설계 목표● 한 학기 동안 배운 디지털 공학 실험 이론을 통하여 디지털 시계를 설계한다.- SET 단자를 누를 시 시계의 동작 기능을 한다(시/분/ ... 발진회로 오류 지적.7-Segment와 7447 연결 부분 구현, 요일 및 오전오후 표시부 구현, 발표 대본 작성, 소자별 특성 정리 및 조사, 회로 검토 및 선정리.
    리포트 | 12페이지 | 2,000원 | 등록일 2020.01.01
  • 코카콜라 5 Force Model 분석과 마케팅 SWOT, STP, 4P 전략 분석 및 코카콜라 향후 마케팅 전략 방안 제시하시오
    .^3)^4)(^5)(^6)^7^8..FILE:Contents/section0.xml코카콜라 5 Force Model 분석과 마케팅 SWOT, STP, 4P 전략 분석 및 코카콜라 ... 타겟 마케팅은 특정 시장 세그먼트에 집중하여 제품을 홍보하는 것을 의미한다. ... 코카콜라는 다양한 시장 세그먼트를 식별하여 각각의 니즈에 따라 제품을 맞춤화한다.
    리포트 | 5페이지 | 2,000원 | 등록일 2024.04.29
  • A+ CATL 기업 분석과 미래 전략
    시장을 선도하기 위해 설립 지난 10년간 지속적인 기술 혁신과 투자로 글로벌 시장 입지 강화 2021 년 32.6% 의 시장 점유율로 CATL 은 전 세계 296.8GWH 중 96.7GWH ... 에너지 기업 CATL은 또한 신재생 에너지 기업들을 주요 고객 세그먼트로 공략하고 있습니다. ... 에너지 저장 시스템 기업 CATL은 또한 신재생 에너지 기업들을 주요 고객 세그먼트로 공략하고 있습니다.
    리포트 | 24페이지 | 3,000원 | 등록일 2024.06.03
  • IT면접 대비(네트워크)
    OSI 모델의 7 계층을 설명해 주세요.답변: OSI 모델은 네트워크 통신을 7개의 계층으로 나눈 개념 모델입니다.물리 계층 (Physical Layer): 하드웨어적인 전송 매체와 ... Configuration Protocol) 등의 기능을 제공합니다.스위치:같은 네트워크 내의 장치 간 데이터 전송을 담당합니다.MAC 주소를 기반으로 프레임을 스위칭합니다.네트워크 세그먼트
    자기소개서 | 2페이지 | 3,000원 | 등록일 2024.07.07
  • 쉽게 배우는 AVR ATmega128 마이크로컨트롤러 5장연습문제
    FND는 7-Segment라고도 부르는데, 숫자나 문자를 표시하는데 사용되는 소자로서 7개의 세그먼트(a, b, c, d, e, f, g)와 도트 p로 구성된다. ... 각각의 세그먼트는 LED로 되어 있다.10. ... ~ PC7Port D8bitPD0 ~ PD7Port E8bitPE0 ~ PE7Port F8bitPF0 ~ PF7Port G5bitPG0 ~ PG402.
    리포트 | 3페이지 | 1,000원 | 등록일 2020.12.06 | 수정일 2021.06.18
  • 홍익대학교 마이크로프로세서 실험 및 설계 (실험4) Term project 보고서
    설계하기.(2) 실험 도구(사용 모듈)① ATmega128 모듈 ② 7447 IC칩 6개③ 정전압 분배, 조절기(LM7805) ④ IC칩 소켓 6개⑤ 다이오드 ⑥ 발광 다이오드⑦ 7세그먼트
    리포트 | 45페이지 | 6,000원 | 등록일 2023.09.04
  • 과제점수 만점!!) 에러 검출 기법 4가지(패리티비트, 블록합, CRC, 체크섬)의 비교 및 에러 검출코드 생성
    데이터를 n 비트의 세그먼트로 나눈 뒤, 각각의 세그먼트를 더합니다. ... 데이터 비트열은 14비트이고, 7비트 전송 시스템이기 때문에 2개의 7비트 덩어리(1011101, 0100001)로 데이터를 나눈다. ... 전송 시스템으로 비트열을 작성하도록 하겠다.주어진 데이터 비트열은 14비트이고, 7비트 전송 시스템이기 때문에 2개의 7비트 덩어리(1011101, 0100001)로 데이터를 나눈다
    리포트 | 3페이지 | 3,000원 | 등록일 2023.03.07
  • 디지털 만보기
    2진수 입력을 받아 BCD코드로 출력하는 2진 to BCD 디코더74LS90-10진수 카운터-카운트 주파수 42MHz-낮은 전력 손실-작동 온도 최대 70°C-표준 TTL 스위칭 전압7세그먼트 ... 붙게되면 전류가 흐르지 않았던 부분에 접촉하게 되어 전류가 흐르게 됨전체적인설명spec기울기 센서를 이용하여 SW200소자가 한 번 동작할 때마다카운터에 1씩 카운트카운트 된 값을 세그먼트에 ... 것이 목적이다.사용한 부품74LS00-4개의 독립적인 NAND 게이트-표준 핀 구성-작동 온도 ~ 70°C-표준 TTL 스위칭 전압74LS08-4라인의 BCD 입력을 수락하고 이를 7개의
    리포트 | 10페이지 | 3,000원 | 등록일 2023.11.07
  • A+받은 다이오드 정류회로(반파정류,전파정류) 예비보고서 PSPICE
    실험목적(1) 다이오드의 순방향 및 역방향 바이어스에 의한 다이오드의 전압-전류 특성을 이해한다.(2) 다이오드 정류 회로의 동작을 이해한다.(3) 발광 다이오드의 특성을 이해하고 7세그먼트 ... 인가 전압의 낮은 범위(0.7V 이하)에서 다이오드 내에 흐르는 전류는 아주 작으나, 0.7V 이상의 순방향 전압을 인가하면 다이오드 내에 많은 전류가 흐르게 된다. ... 즉, 0.7V 이상에서 인가 전압이 조금만 높아져도 전류의 증분이 매우 커지게 된다.이때의 전류의 증가분이 급격히 커지는 시점의 전압 0.7V를 순방향 전압 강하라고 하며  로
    리포트 | 9페이지 | 1,000원 | 등록일 2022.12.28
  • 확률의개념과응용 출석수업과제
    (x=7, y=1)P(D)=0.03, P(Dc)= 0.97질병에 걸린 사람 중 97% 양성: P(T+|D)=0.97질병에 걸린 사람 중 음성: P(T-|D)=0.03질병에 걸리지 않은 ... 판매자는 이러한 정보들을 바탕으로 의사결정에 참여하여 소비자들의 구매욕구를 증진하는 데 활용한다.참고문헌:“플래티어 '그루비 AI 세그먼트' 출시…구매 확률도 예측,” (2021. ... 행동을 분석하고, 내 점포로부터 이탈할 우려는 없는지, 꾸준히 물건을 구입해 줄 잠재적인 충성고객으로 남을 지 등을 세세하게 분류하고 분석하여 알려준다.눈에 띄는 기능은 ‘구매확률 세그먼트
    방송통신대 | 4페이지 | 5,000원 | 등록일 2022.04.25
  • 생산전략과공급사슬관리 삼립SPC 기업분석
    핵심자원- 기업이 보유하고 있는 실물적인 혹은 기술적인 자원으로 인적자원, 기술자원, 지식재산 등이이곳에 포함된다.(7). ... 고객 세그먼트-우리가 창출하는 가치는 누구를 위한 것인가로 고객층을 분석하는 것이다. 타겟층에 따른 전략 수립에 도움이 된다.(2).
    리포트 | 6페이지 | 2,500원 | 등록일 2023.10.21
  • 디지털시대 / 비즈니스패러다임 / 린스타트업 / 비즈니스모델캔버스 / 인터넷소비자 / 소셜커머스
    비즈니스 모델의 구성요소와 비즈니스 모델 캔버스(1) 비즈니스모델의 구성요소(2) 비즈니스 모델 캔버스7. ... 재무자원 : 현금, 신용한도, 스톡옵션, 벤더파이내싱 등7) Key Activities(핵심활동)? ... 고객세그먼트유형? 매스마켓 : 가전제품? 틈새시장 : 자동차부부품과 같은‘공급자-바이어’ 관계? 세그먼트가 명확히 이루어진 시장 : 은행의 소매파트 (중하층과 상류층고객)?
    시험자료 | 24페이지 | 2,000원 | 등록일 2021.04.14
  • [시스템프로그래밍]8086 인텔 프로세서에서 사용되는 레지스터에는 어떠한 것들이 있으며, 각각은 어떤 역할을 하는지 정리해 보세요.
    CS 레지스터를 참조하여 물리적인 메모리 어드레스 만듬.(4)세그먼트 레지스터ㆍCSCode Segment : 프로사용할 데이터가 저장된 세그먼트 시작번지를 가르킨다. ... 이 프로세서는 기본 3.7GHz 클럭을 시작으로 부스트 시 5.3GHz 까지 지원한다. ... (SS)에 있는 데이터를 엑세스 할 때 사용, 다른영역의(세그먼트가 다른)데이터를 간접번지 지정으로 엑세스 할 때 사용(3)인덱스 레지스터ㆍSISource Index : 간접 번지
    리포트 | 9페이지 | 8,000원 | 등록일 2021.05.07
  • 트랜스포트 계층 QUIZ (문제와 풀이)
    다만 수신자는 자신이 보낸 마지막 ACK,NAK 여부를 알 수 없다.문제7. ... 이렇게 체크섬을 이용해 오류가 검출될지라도 UDP에서는 오류가 난 세그먼트를 그냥 버리고, 오류가 나지 않은 세그먼트만 애플리케이션에 넘겨준다. ... 반면에 TCP는 오류가 난 세그먼트가 있을 때 오류가 나지 않았다는 반응을 얻을 때까지 해당 세그먼트를 다시 보내는 등 오류를 수정하기 위한 조치를 취한다.문제4.
    리포트 | 5페이지 | 1,000원 | 등록일 2020.08.16
  • Mux&Decoder2차레포트 디지털회로설계
    . 7세그먼트는 각 획에는 LED가 내장되어 있어 LED의 점등으로 표시를 한다.A~G까지7개 DP 1개, 총 8개의 LED가 내장 되어 있다.제 2장 각각의 schematic디자인 ... 뽑을 수 있게 하는 것 이다Decoder디코더는 활성화될 때 입력신호에 따라 여러 출력선 중 하나를 선택하게끔 만드는 장치이다.FND(Flexible Numeric Display)7세그먼트 ... DE2작동 사진은 역시 없다.(5) FND Decoder VHDL-VHDLRTL VIEWER시뮬레이션 결과output 의 결과는 7자리를 뜻하고 1인부분은 불이 안켜지고0인 부분만
    리포트 | 15페이지 | 2,000원 | 등록일 2022.01.05
  • Unix Linux 시스템 ) Unix, Linux 시스템에서 제공하는 IPC mechanism에 대해 다음 사항들을 조사하여 제출 - 유닉스 IPC
    세그먼트는 하나의 프로세스에 의하여 만들어질 수도 있고, 그 이후에는 어떤 프로세스 상관없이 그 세그먼트에 쓰기와 읽기를 할 수 있다.공유 메모리를 사용 시 여러 프로세스가 비동기적으로 ... 정보는 메모리 세그먼트로부터 호출된 프로세스의 주소 영역에 직접 매핑되어 있다. ... ExtensionsPOSIX. 4aThreads ExtensionsPOSIX. 4bAdditional Real-Time ExtensionsPOSIX. 6Security ExtensionsPOSIX. 7System
    리포트 | 7페이지 | 5,000원 | 등록일 2022.02.11
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 19일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:05 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기