• 통큰쿠폰이벤트-통합
  • 통합검색(5,132)
  • 리포트(4,793)
  • 시험자료(163)
  • 자기소개서(77)
  • 방송통신대(41)
  • 논문(27)
  • 서식(23)
  • 이력서(3)
  • 노하우(3)
  • ppt테마(2)

"7카운터" 검색결과 41-60 / 5,132건

  • 디지털회로실험 동기식 카운터, 비동기식 카운터
    결과실험 1) MOD-16 DOWN 카운터 회로와 7-segment 표시표 15-2 MOD-16 DOWN 카운터의 파형 PRCKDCBA01111111111downarrow(1)11101downarrow ... (6)300downarrow(7)200downarrow(8)100downarrow(9)000downarrow(10)9-토의실험 1) MOD-16 DOWN 카운터 회로와 7-segment ... 실험 과정실험 1) MOD-16 DOWN 카운터 회로와 7-segment 표시- 그림과 같이 회로를 결선한 후, PR을 접지에 연결했다가 다시 +5V에 연결한다.- 함수 발생기로부터
    리포트 | 7페이지 | 2,000원 | 등록일 2023.10.24
  • 아날로그 및 디지털회로 설계 실습 stopwatch 설계_결과보고서
    BCD카운터 출력 4bit을 BCD to 7-segment 사이에 저항(330Ω)을 달아 과전류를 방지한다. ... 또한 이론에 언급한 대로 decoder의 출력 방식과 7-segment LED의 type 간의 매칭에 유의한다.회로도(C) 전원을 인가하고 7-segment LED에 표시되는 숫자가 ... 그럼 74LS192의 Q0에서 Q3 출력이 74LS47의 입력에 그대로 인가된다. 74LS47의 출력이 7-segment로 연결되어 출력이 나타난다.
    리포트 | 6페이지 | 1,000원 | 등록일 2023.10.30
  • 아날로그 및 디지털 회로 설계실습 결과보고서12
    (B) (A)에서 생성된 Clock 신호를 BCD카운터(10진 카운터)에 연결 BCD카운터 출력 4bit을 BCD to 7-segment decoder(MC14511B)를 통해 7- ... 이때 Decoder와 7-segment사이에 저항(330Ω)을 달아 과전류를 방지한다. ... 또한 이론에 언급한 대로 decoder의 출력 방식과 7-segment LED의 type 간의 매칭에 유의한다.
    리포트 | 7페이지 | 1,000원 | 등록일 2024.07.05
  • 디지털회로실험 시프트 레지스터, 링카운터, 존슨카운터
    14-3 링 카운터 출력결과CLRPR(4번째 FF7번 pin)클록펄스수Q_3Q_2Q_1Q_0*************0000111000111downarrow(1)100011downarrow ... (3)11101downarrow(4)11111downarrow(5)01111downarrow(6)00111downarrow(7)00011downarrow(8)00001downarrow ... (2)010011downarrow(3)001011downarrow(4)000111downarrow(5)100011downarrow(6)010011downarrow(7)001011downarrow
    리포트 | 7페이지 | 2,000원 | 등록일 2023.10.24
  • 전기전자기초개론실험/기계공학실험 설계 텀프로젝트
    이로써 12진 카운터가 완성되었다.? 7-segment 디스플레이 장치의 동작원리그림 5는 74LS47의 결선도, 그림 6은 7-segment를 나타낸다. ... 실험 주제7-Segment와 IC 논리회로를 활용한 디지털 카운터 시계 (Digital Counter Clock)2. ... 회로도그림 1 디지털 시계 회로그림 1은 7-Segment와 IC 논리회로를 활용한 디지털 카운터 시계이다. 이 회로를 구성하기 위해서는 ? 하부의 발진회로 및 분주회로와, ?
    리포트 | 7페이지 | 1,500원 | 등록일 2022.11.29
  • [마이크로컨트롤러]8th_16비트타이머카운터
    (OC3A) PB6(OC1B) PE4(OC3B) PB7(OC1C) PE5(OC3C) PD6(T1) PE6(T3) PD4(ICP1) PE7(ICP3) 16 비트 타이머 / 카운터 내부 ... (PE7) 16 비트 타이머 / 카운터 : 타이머 / 카운터 1, 타이머 / 카운터 3 16 비트 타이머 / 카운터 3 16 비트 타이머 / 카운터 내부 구조 PB5(OC1A) PE3 ... 1 : PB5(OC1A), PB6(OC1B), PB7(OC2/OC1C) → 타이머 / 카운터 3 : PE3(OC3A/AIN1), PE4(OC3B/INT4), PE5(OC3C/INT5
    리포트 | 34페이지 | 1,500원 | 등록일 2022.10.10
  • 디지털 회로 응용 - 동기식 카운터3
    동기식 10진 카운터 74160을 이용하여 3→4→5→6→7→8 - - -이 반복되는 카운터 회로를 설계하시오.과제 4. ... 동기식 10진 카운터 74160을 이용하여 4→5→6→7→8→9 - - -가 반복되는 카운터 회로를 설계하시오.(교재 문제9)과제 3. ... 동기식 10진 카운터 74160을 이용하여 0에서 99까지 셀 수 있는 카운터 회로를 설계하시오.(교재 문제8)과제 2.
    리포트 | 3페이지 | 2,000원 | 등록일 2022.12.05
  • 홍익대_디지털논리회로실험_9주차 예비보고서_A+
    이를 통해 존슨 카운터를 구현했다. CLK가 0에서 1로 변할 때 기존의 값이 Q0에 출력되고 이전의 Qn 값이 Qn +1에 출력된다.(n=0~7) ... (n=0~7)2.3 응용실험 (1)마지막 D Flip-flop 즉 FF3의 출력 값을 첫번째 D Flip-flop의 입력으로 사용하는 것이 존슨 카운터이다. ... LOW가 입력될 경우 다른 입력에 무관하게 Q0~Q7에 0이 출력된다.
    리포트 | 6페이지 | 1,500원 | 등록일 2024.05.15
  • 홍익대 디지털논리실험및설계 9주차 예비보고서 A+
    따라서 n-bit의 링카운터는 n-bit의 상태를 반복한다.2.5 응용실험 (3)CLKQ0Q1Q2Q3Q4Q5Q6Q7??10000000??11000000??11100000?? ... 따라서 다음과 같이 출력될 것이다.2.2 기본실험 (2)CLKDQ0Q1Q2Q3Q4Q5Q6Q7?110000000?001000000?110100000?001010000? ... 수 있다.존슨 카운터는 링 카운터의 다른 버전으로 트위스트 링 카운터라고도 불린다.
    리포트 | 5페이지 | 1,000원 | 등록일 2023.09.18
  • [A+] 중앙대학교 아날로그및디지털회로설계실습 12차 예비보고서
    Decoder와 7-segment의 사이에 330 Ω 저항을 삽입하여 7-segment의 각 세그먼트 허용전류를 초과하지 않도록 하였다.※ Stopwatch의 정상 동작을 위해서는 ... Active HIGH 출력을 가지는 MC14511B의 출력 단자를 Common Cathode 7-Segment LED에 연결한다. ... MC14511B를 BI = HIGH, LE = LOW, LT = HIGH로 연결해야한다. 7-segment가 common cathode이므로, V = LOW를 연결해야한다.2. 2자리
    리포트 | 6페이지 | 1,000원 | 등록일 2024.02.17
  • 디지털 회로 실험-동기식 카운터
    -동기식 7진 카운터 : 클럭신호를 공급하면 0~6까지 숫자가 변화하는 카운터 이다.3. 실험순서실험순서1. ... 실험 결과(표, 그래프, 사진, 시뮬레이션 결과)실험순서1클록펄스인가 수그림 13-7의 경우DCBACLR/PR00*************0011401005010160110701118100091001101010111011121100131101141110151111160000170001실험순서2클록펄스인가 ... 동기식 카운터1. 목적-동기식 카운터의 동작원리를 익힌다.-JK 플립플롭을 응용한 Up, Down 카운터의 구성 방법을 익힌다.2.
    리포트 | 9페이지 | 2,000원 | 등록일 2022.09.10
  • 디지털 회로 실험-비동기식 카운터
    0000100012001030011401005010160110701118100091001101010110000120001실험순서4대면실험 순서1ABX001011101110대면실험 순서2클록펄스인가 수그림 12-7의 ... 수그림 12-7의 경우DCBACLR/PR0000111112111031101411005101161010710018100090111100110110101120100130011140010150001160000171111실험순서3클록펄스 ... 실험 결과(표, 그래프, 사진, 시뮬레이션 결과)실험순서1클록펄스인가 수그림 12-7의 경우DCBACLR/PR00*************0011401005010160110701118100091001101010111011121100131101141110151111160000170001실험순서2클록펄스인가
    리포트 | 12페이지 | 2,000원 | 등록일 2022.09.10
  • 12. Stopwatch 설계 예비보고서 - [아날로그및디지털회로설계실습 A+ 인증]
    실습 활용 방안- 10진 카운터 칩과 7-Segment Decoder, 7-Segment LED 를 연결하여 Stopwatch 회로를 설계하였다. ... CPU 에 클럭 인가 시 UP 카운터로 동작(CPD 에 VCC 연결)- Q0~Q3 는 카운터의 출력 핀으로 Q0 가 LSB, Q3 가 MSB- 74HC192 는 UP 카운터 핀에 ... 가 필요하며, 7개의 segment 를 점등하기 위해 7개의 출력 bit 가 필요하다.실험에서 사용하는 MC14511B 디코더의 진리표와 핀 구성도, 7-segment 연결시 Display
    리포트 | 11페이지 | 1,000원 | 등록일 2022.11.16 | 수정일 2023.01.03
  • [디지털공학개론] 1. 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오. 2. 4가지 기본형 레지스터의 분류에 속하는 IC들을 정리하시오.
    위해 서 있어야 하며 숫자는 설계된 카운터의 값이 보이도록 7-세그먼트를 사용하여 표현되어야 한다. ... 초나 분을 표현하는 6진수 또는 10진수 카운터는 BCD-to-7 세그먼트 디코더 TTL 7447을 사용해 값을 나타낼 수 있다. ... 또한 12진수 카운터의 값이 10 또는 11일 때는 TTL-7447 디코더와 7-세그먼트로는 표시할 한 자릿수로 표시되기 때문에 알아보기 어렵다.
    리포트 | 5페이지 | 2,000원 | 등록일 2022.06.30
  • 홍익대 디지털논리실험및설계 10주차 예비보고서 A+
    따라서 3(0011), 7(0111)에서 toggle 이 일어난다.네 번째 FF은 (Q3Q0)+(Q0Q1Q2) 가 1일 때 toggle 이 일어난다. ... 하지만 Q3’은 0~7까지는 항상 1이고, 8이상에서는 0을 출력하므로 8이상에서는 두 번째 FF 에서는 toggle이 일어나지 않는다.세 번째 FF은 Q0Q1이 1일 때 toggle이 ... 실험 준비1.1 비동기식 카운터와 동기식 카운터의 작동 원리와 차이점에 대하여 서술하시오.카운터란 일정한 state가 반복되어 숫자를 세는 기능을 할 수 있는 회로이다.
    리포트 | 6페이지 | 1,000원 | 등록일 2023.09.18
  • 12. Stopwatch 설계 예비보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    테스트생성된 Clock 신호를 BCD카운터(10진 카운터)에 연결 BCD카운터 출력 4bit을 BCD to 7-segment decoder(MC14511B)를 통해 7-segment에 ... 이때 Decoder와 7-segment사이에 저항(330Ω)을 달아 과전류를 방지한다.BCD 카운터로 74HC192를 사용하였기 때문에 count up mode로 동작시키기위해서 CPu단자에는 ... 실습준비물부품Inverter 74HC048개NAND gate 74HC003개NOR gate 74HC023개AND gate 74HC083개OR gate 74HC323개7-Segment3개BCD
    리포트 | 6페이지 | 1,000원 | 등록일 2022.09.06
  • 비동기 카운터, 동기 카운터 설계 예비레포트
    도표 7에 타이밍 다이어그램을 그려 넣어라.(8) 그림 19-7은 7493A 카운터가 잘린 시퀀스를 계수 하도록 구성되어 있다. ... 두 개의 사용하지 않는 상태 5와 상태 7이 있다. 초기 설계 단계에서 이 상태들은 나타나지 않는다. ... 비동기 카운터, 동기 카운터 설계예비레포트1. 실험 제목1) 비동기 카운터2) 동기 카운터 설계2.
    리포트 | 9페이지 | 1,000원 | 등록일 2022.10.09
  • 아날로그 및 디지털회로설계실습 12 Stopwatch 설계 예비 리포트
    만들었고 그 결과를 7segment에 연결했다.12-4-274HC192 2개를 연결하여 100진 카운터를 만들었고 각각의 자리수를 7segment와 연결했다.12-4-374HC192 ... 회로를 만들었고 이 결과를 7segment에 연결하여 stopwatch를 만들었고 stop/restart, reset기능을 추가했다.서론: 회로에서 카운터를 이용하여 Stopwatch를 ... 이 결과를 7segment에 연결하여 stopwatch를 만들었다. 이후에 스위치를 통해 stop/restart, reset기능을 추가했다
    리포트 | 3페이지 | 1,000원 | 등록일 2021.09.02
  • [A+]중앙대학교 아날로그및디지털회로설계실습 Stopwatch 설계 예비보고서
    Bit이 필요하고 7개의 segment를 점등하기 위해서는 7개의 출력 bit이 필요하다.BCD 카운터, Binary 카운터10진 카운터로는 74HC190/192칩을, 그리고 16진 ... 크게 BCD to 7 Segment decoder 와 BCD 카운터, Binary 카운터 두 가지만 정리하겠다.BCD to 7 Segment decoder디지털 회로의 출력은 대게 ... 카운터로는 74HC191/193 칩을 사용할 수 있다. 10진 카운터는 0에서 9까지 카운트한 후 다시 0으로 돌아가고 16진 카운터는 0에서 15까지 카운트한 후 다시 0으로 돌아가게
    리포트 | 5페이지 | 1,000원 | 등록일 2021.09.02
  • 디지털 시계 실험 프레젠테이션
    작동원리- 기본원리10진 디코더6진 디코더10진 카운터6진 카운터NE555a b c d e f ga b c d e f ga b c za b c d z7-Segment디코더카운터NE555디코더의 ... 출력된 값을 입력받아 7개의 LED로 나타냄카운터에서 출력된 값을 0~9까지의 수로 표현펄스값을 입력받아 1씩 카운트 (Z값을 정해주어 다음 카운터로 신호를 줌)주파수가 1Hz인 ... 원리는 카운터에서 clock의 수에 따라 출력값이 카운트되어 그 출력값이 디코더의 입력값으로 들어가고 디코더에서 입력값을 받아 출력값을 추출하고 그 출력값에 따라 7-Segment의
    리포트 | 15페이지 | 5,000원 | 등록일 2021.12.06 | 수정일 2022.04.08
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:12 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대