• 통큰쿠폰이벤트-통합
  • 통합검색(5,132)
  • 리포트(4,793)
  • 시험자료(163)
  • 자기소개서(77)
  • 방송통신대(41)
  • 논문(27)
  • 서식(23)
  • 이력서(3)
  • 노하우(3)
  • ppt테마(2)

"7카운터" 검색결과 101-120 / 5,132건

  • 한양대 counter
    또한, 7-segment를 추가로 연결해 출력되는 결과값을 알아본다.Chapter 2. ... 실험 목적JK Flip Flop을 포함한 소자들을 이용해 10진 카운터를 설계한 뒤, truth table을 확인한다. ... 관련 이론이번 실험에서는 10진 카운터를 다양한 소자들을 사용해 설계하는 실험이다.주어진 소자들 중 74LS112 소자는 JK Flip-Flop에 해당하는 소자이다.JK Flip Flop은
    리포트 | 5페이지 | 2,000원 | 등록일 2023.03.21
  • 실험4 예비 마이크로프로세서 실험 및 설계 실습7. 타이머로 LED 점멸
    마이크로프로세서 실험 및 설계예비보고서실습7. ... 레지스터비트 1,7 : OCIE0/OCIE2비트 0,6 : TOIE0/TOIE2-TIFR (Timer Interrupt Flag Register)타이머 인터럽트 프래그 레지스터타이머 ... /카운터0, 타이머/카운터1,타이머/카운터2가 발생하는 인터럽트의 플래그를 저장하는 레지스터
    리포트 | 3페이지 | 2,000원 | 등록일 2020.01.02 | 수정일 2020.11.12
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서9
    자기계발 및 책무성: 기술적 능력을 유지, 증진하며, 훈련 또는 경험을 통하여 자격이 있는 경우이거나 관련 한계를 전부 밝힌 뒤에만 타인을 위한 기술 업무를 수행한다.7. ... 때마다 출력 A, B가 어떻게 변하는지 확인한다.예상 결과: 아래 그림과 같은 원리로 결과가 나올 것이다.CLKA'B'AB'A'BAB0*************030001실험 2. 7- ... 카운터를 이용한 인코딩과 디코딩의 코드변환동작의 원리와 특성을 이해한다.2) 실험이론※ 카운터 (Counter): 클럭에 의해서 동작할 때 수나 상태의 시퀀스를 통해서 나타낼 수 있는
    리포트 | 6페이지 | 1,000원 | 등록일 2021.10.24
  • [A+][예비레포트] 중앙대 아날로그 및 디지털 회로 설계실습 12. Stopwatch 설계
    소자와 Decoder를 이용해 회로를 완성하였다. 2자리 숫자 표시 회로와 3자리 숫자 표시 회로는 BCD 10진 카운터, Decoder, 7-segment를 각각 2개, 3개 추가해주면 ... Stopwatch 설계실습목적Stopwatch 설계를 통하여 카운터, 분주회로, 클럭 회로, 디코더 등 다양한 디지털 회로 구성요소에 대한 이해를 높이고 Datasheet를 읽고 분석하는 ... VCC, GND 연결 등의 기본적인 연결은 표시할 필요 없이 주요 부품과 주요 결선 부분만 표시하시오.Function Generator를 이용해 클락 신호를 만들어주었고 BCD 카운터
    리포트 | 1페이지 | 1,000원 | 등록일 2022.04.08
  • JK플립플롭을 이용한 학번 카운터
    J-K 플립플롭을 통해 학번 카운터기를 설계한 후 이를 7447IC를 이용하여 7-세그먼트로 출력한다.J-K 플립플롭은 S-R 플립플롭에서 S=1, R=1인우 출력이 불안정한 상태가 ... J=1, K=1인 경우 이전 출력의 보수 상태로 변화한다.위 데이터 시트를 이용하여 7447 IC와 7-세그먼트를 설계한다.이를 이용하여 학번(201945831)을 출력하는 카운터를 ... 만드는 과정이 생각보다 많은 과정들이 필요하였다. 0→1 과 3→1을 구분하기 위해 J-K 플립플롭을 하나 더 사용하여 이에 따라 7세그먼트용 4개를 더해 총 5개의 J-K 플립플롭을
    리포트 | 9페이지 | 1,500원 | 등록일 2022.12.04
  • 2025대비 분당서울대병원 신규간호사 자소서 (합격인증0)
    (최대30자)골프장 카운터(2년)7. 학교(또는 사회생활)중 가장 열정적으로 참여했던 일은 무엇이고, 본인의 역할은 무엇이었습니까? (최대 30자)통합실습 조장8.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2023.11.26
  • 예비보고서(4) 카운터 counter
    표 3(b)를 완성한다.(7) 비동기식 십진 카운터 회로 (f)를 구성하고CLR=0 → 1로 한 후 절차 (1)을 반복하여 표 4(a)에 기록한다.(8) 동기식 십진 카운터 회로 ... 비동기식 카운트-업 카운터CLK=1CLK=9CLK=2CLK=10CLK=3CLK=11CLK=4CLK=12CLK=5CLK=13CLK=6CLK=14CLK=7CLK=15CLK=8CLK=16 ... 리플 캐리 카운터는 회로의 구성이 비동기식 카운터보다 복잡하지만 동기식 카운터보다는 간단하고, 또 전송 지연이 동기식 카운터보다는 길어지지만 비동기식 카운터보다는 짧아진다.
    리포트 | 11페이지 | 2,000원 | 등록일 2020.10.14
  • 8주차-실험19 예비 - 카운터 회로
    (준비물 : SN7476)(7) 실험 2에서 CLK 입력이 어떤 상태일 때 A=B=C=D=0의 clear가 되는가? 어떤 계수기로 동작하는가? ... 익힌다.(4) 증계수, 감계수 및 증/감계수의 논리를 이해한다.실험준비물(1) SN7400(2) SN7404(3) SN7408(4) SN7411(5) SN7421(6) SN7472(7) ... 플립플롭 A의 출력이 플립플롭 B의 입력으로 들어가는 것을 확인할 수 있다.(7) 회로에 대해 다음의 입력파형에 대한 FF의 출력 Q[3:0]를 그리고, 기본 ring 계수기에
    리포트 | 14페이지 | 1,500원 | 등록일 2020.10.02 | 수정일 2022.10.16
  • 디지털 논리회로 실험 9주차 Shift Register 결과보고서
    를 다이렉트로 A에 연결하면 8-bit 존슨 카운터를 구현할 수 있다. 00000000인 상태에서bar{Q7}가 1로 Data Input으로 들어가 다음 클럭 펄스가 들어올 때 데이터를 ... 그 다음bar{Q7} 또한 1이므로 Data Input으로 1이 들어가 11000000이 된다. 클럭 펄스를 몇 번 주면 11111111인 상태가 된다. ... 그 다음bar{Q7} 또한 1이므로 Data Input으로 1이 들어가 11000000이 된다. 클럭 펄스를 몇 번 주면 11111111인 상태가 된다.
    리포트 | 9페이지 | 2,000원 | 등록일 2021.04.22
  • 중앙대학교 아날로그및디지털회로설계실습 설계실습 12. Stopwatch 설계 A+ 예비보고서
    Inverter 74HC04 : 8개 NAND gate 74HC00 : 3개 NOR gate 74HC02 : 3개 AND gate 74HC08 : 3개 OR gate 74HC32 : 3개 7- ... Segment : 3개 BCD Decoder 74LS47 : 3개 BCD 카운터 74HC192P : 3개 counter 74HC90 : 6개 ... 실습목적Stopwatch 설계를 통하여 카운터, 분주회로, 클럭 회로, 디코더 등 다양한 디지털 회로 구성요소에 대한 이해를 높이고 Datasheet를 읽고 분석하는 능력과 원하는
    리포트 | 3페이지 | 1,000원 | 등록일 2022.09.15 | 수정일 2022.09.22
  • (인터넷생활윤리) 나의 스마트폰 사용 실태 분석과 개선하기
    3시간 47분, 유튜브 뮤직 2시간 7분, 하나투어 1시간 1분으로 나왔다. ... 게임의 경우 게임의 종류를 줄이도록 하고, 게임 사용 시간이 1주일에 7시간 미만으로 줄여야 한다. ... 스도쿠와 카운터사이드는 모바일 게임으로 내가 최근에 즐겨하고 있는 취미 중 하나다. 유튜브를 보는 것도 내 취미생활 중 하나이기 때문에 주기적으로 유튜브 영상을 보고 있다.
    방송통신대 | 6페이지 | 2,000원 | 등록일 2024.02.23
  • 디지털 회로 실험 및 설계 - 74LS192를 이용한 Up-Down Counter 실험 2
    다운 카운터0 1 23 4 56 7 89? 업 카운터0 1 23 4 56 7 89실험 4) 회로도 (채터링 방지 회로도)? ... 세그먼트 LED 디스플레이를 제어하기 위한 7개의 출력을 생성하므로 효율적으로 7-세그먼트를 제어했다고 분석할 수 있다.- 다음 74LS192의 경우, 4비트 동기 카운터 칩으로써, ... .)- KEY가 VCC에 연결되어 있을 때 : 7-세그먼트에 표시된 숫자가 자동으로 1씩 증가함- KEY가 GROUND에 연결되어 있을 때 : 7-세그먼트에 표시된 숫자가 자동으로
    리포트 | 8페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 전자회로공학설계실험 - 전자주사위
    동작원리 : 2 진 카운터와 6 진 카운터를 내장한 회로인 7492 에서 6 진 카운터만을 사용하게 되는데 이때 출력을 BCD 디코더인 7442 의 입력단에 연결하여 LED 7 개중 ... 부품 및 회로도 부품 : IC 7400, IC 7404, IC 7410, IC 7442, IC 7492, SW, LED 7 개 , 390 Ω 7 개 , 820 Ω 3 개 , 10uF ... 실험 결과 및 고찰 회로를 구성하는 과정에서 원래 2 진 카운터와 6 진 카운터로 12 진 카운터를 동작하는 소자인데 6 진 카운터 만을 사용하여 동작하게 만들었다 .
    리포트 | 6페이지 | 3,000원 | 등록일 2019.11.06
  • 업다운 카운터 verilog 설계
    cnt_out;parameter S0=4'b0000, S1=4'b0001, S2=4'b0010, S3=4'b0011, S4=4'b0100, S5=4'b0101, S6=4'b0110, S7= ... 제목동기식 BCD 카운터 설계실습 목적동기식 카운터는 순차논리회로에서 예제로 가장 많이 사용된다. ... BCD 카운터는 0에서 9까지 카운트하므로 앞에서 설계한 Up_down 카운터와 마찬가지로 10개의 상태를 정의하고, 클럭의 상승 에지에서 1씩 증가하도록 한다.
    리포트 | 3페이지 | 2,000원 | 등록일 2020.12.19
  • 디지털회로실험 시프트 레지스터 결과보고서
    siso&dcr=0&tbm=isch&source=iu&pf=m&ictx=1&fir=zXURnuRAag5TpM%253A%252C943ZN5dUZFzcsM%252C_&usg=__qGeo7PgR06I8fHPRsw6t5nH3g0o ... 실험예비보고서를 통해 공부한 것에 따르면 링 카운터와 존슨 카운터의 차이는 n개의 플립플롭으로 구성된 링 카운터는 n개의 서로 다른 상태를 출력하고, 존슨 카운터는 2n개의 서로 다른 ... 클록이 바뀔 때 마다 존슨 카운터는 1과 0이 서로 바뀔 때 링카운터보다 더디게 바뀌는 것을 확인할 수 있다.고찰 :?
    리포트 | 7페이지 | 3,000원 | 등록일 2021.04.16
  • 논리회로실험 A+결과보고서 8 Counter
    고찰이번 실험은 카운터의 동작 원리와 특성을 이해하고 N진 카운터(실험에서는 2진 3진), 동기식 카운터, 비동기식 카운터, BCD counter와 7-segment의 출력의 특징과 ... 동작에 대해 알아보는 실험이었다.첫 번째 2단 2진 카운터였다. ... ⇒사진1~사진4은 클럭을 인가한 후 매 1초마다의 사진이다. (1Hz)⇒사진4의 결과 이후로 사진1의 결과부터 다시 반복된다. (2단 2진 카운터이기 때문)2.
    리포트 | 8페이지 | 1,000원 | 등록일 2020.10.09
  • 디지털 회로 실험 및 설계 - Multiplexer, DeMultiplexer 실험, JK Flip Flop 순차회로 실험 2
    4분주, 8분주, 16분주의 결과가 나오는 것을 확인할 수 있었다.실험 7) JK Flip-Flop 플립플롭을 이용한 비동기 카운터와 MUX를 설계하고, S1,S0의 입력에 따른 ... 결과분석- 회로의 모든 플립플롭에 클록 펄스를 동시에 인가하는 동기 카운터로 설계한 회로이다.- 클록에 NOT 게이트가 있으므로 상향 카운터라고 볼 수 있고, 그러므로 각각 2분주, ... .- 이론값대로 결과 잘 나왔고, 전압 레벨 또한 High는 4.3V 정도, Low는 0.16V 정도로 잘 나왔다.실험 5) JK Flip-Flop을 이용한 비동기 카운터를 설계하고
    리포트 | 15페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • [부산대학교 응전실1(응용전기전자실험1)]AD DA 컨버터 응용전기회로 결과보고서
    따라서 VO의 경우 이산신호의 출력에 따라서 각각 0:1:2:3:4:5:6:7:8:9 비율을 가지므로 전압이 계단함수를 그리며 처음의 9번째 계단을 그리고 그 후에는 다시 0000으로 ... 편리하게 사용하는 IC들은 내부적으로 복잡하게 JK플립플롭들이 연결되어 있으며 이것을 게이트레벨, 트랜지스터 레벨에서 보게 되면 매우 복잡하게 상호 연결되어있음을 짐작할수 있습니다.7. ... 실험 결과*출력파형*전체 회로 구성*ne555 타이머*7490 카운터5.
    리포트 | 8페이지 | 1,000원 | 등록일 2023.10.01 | 수정일 2024.03.22
  • 아주대학교 일반전자공학실험 Digital I/O A+ 결과보고서
    또한 LED는 7개지만, lines to wirte를 통해 DIO는 7개가 끝이 아니라 24개까지 있다는 것을 확인하였고, 즉 많은 수의 아웃풋을 줄 수 있다는 것을 알 수 있었다. ... 집계한 시그널이니까 카운터가 잘못된것이라 예상할 수 있다. ... 즉 555디지털 클락 회로에서 나오는 사각파의 신호를 카운터에 연결해 숫자를 세려보는 실험이다.
    리포트 | 11페이지 | 2,000원 | 등록일 2022.05.14
  • Artmeaga 128 소개 및 주요 기능
    .< 사진 1-Atmega 128 핀 배치 > < 사진 2-Atmega 128 핀 고유 기능 >포트 개수 및 Atmega 128의 주요 기능Atmega 128는 7개의 포트로 구성됨.입출력 ... 타이머/카운터0은 8비트 구조로 카운터로 이용될 때 타이머/카운트0의 카운터 입력을 받아 RTC기능을 가지는 것을 제외하고 타이머/카운터2와 가능이 같다. ... 인터럽트(시급한 작업을 먼저 수행 후 원래 상태로 복귀 작업)구성은 외/내부 장치의 서비스 요청에 MCU가 가장 빠르게 대응함.타이머/카운터 기능: 4개의 타이머/카운터로 구성되며,
    리포트 | 2페이지 | 1,000원 | 등록일 2020.12.17
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:19 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대