• 통큰쿠폰이벤트-통합
  • 통합검색(537)
  • 리포트(476)
  • 시험자료(28)
  • 자기소개서(16)
  • 방송통신대(14)
  • 논문(2)
  • 서식(1)

"ALU설계" 검색결과 41-60 / 537건

  • [컴퓨터 구조 및 언어][Quartus 2,max]Verilog HDL 이용한 32Bit Arithmetic Logic Unit(ALU)설계(mips)와 분석
    설계하기1.Verilog-HDL 코딩//32Bit ALU는 기본ALU_unit 31개와 최상위에서 overflow를 detection하는 31번째ALU_unit31////1개의 Unit가 ... ("Verilog HDL"32Bit Arithmetic Logic Unit(ALU) 설계하기 )담 당학 과학 번성 명제출일32Bit Arithmetic Logic Unit(ALU) ... 각각 연결해 줌////32bit_ALU의 최종구성 모델의 module을 구성하고 설계함//module ALU_32Bit(zero, result, carryout, overflow,
    리포트 | 40페이지 | 2,000원 | 등록일 2005.10.05
  • 임베디드 IoT 응용실험 - VHDL을 이용한 8-bit ALU
    과제명VHDL을 이용한 8-bit ALU 설계 및 검증과제 목적1. VHDL을 사용하여 논리회로를 기술한다.2. Vivado 환경에서 작업을 한다.3. ... 하는 언어로 초보자도 쉽게 회로 설계를 할수 있는 IEEE 표준언어 ... (Arithmetic Logic Unit) : 산술 논리 장치ALU : 중앙처리장치 속에서 연산하는 부분을 ALU라고 한다.이것은 산술연산과 논리연산을 하는 유닛이다.* 산술연산
    리포트 | 9페이지 | 3,000원 | 등록일 2022.04.14
  • [디지털회로 실험] verilog 4비트 계산기 설계
    쿼터스, 모델심사용하였고 사용언어는 베릴로그 입니다.mux, 가산기, decoder, rom, ALU 등 따로 설계하여 합치는 방식으로 설계해서 각각의 회로에 대해서도 자세히 확인할수 ... 있습니다.각각 회로들에 대해서 쿼터스설계(회로구조), 베릴로그 파일, 테스트벤치파일로 구성되어있습니다.최종계산기는 top_block파일입니다. tb가 붙어있는 파일은 테스트 벤치
    리포트 | 3,000원 | 등록일 2020.09.07 | 수정일 2023.03.12
  • 논리회로실험 비교기와 MUX, ALU 결과보고서
    논리회로설계 실험 결과보고서 #5실험 5. 비교기와 MUX, ALU1. ... 하지만 이는 사용법을 터득하기 위한 연습으로 실제 복잡한 설계에서는 그 활용이 제대로 될 것이라고 예상할 수 있다. ALU설계함으로서 ALU의 특성에 대해 알게 되었다. ... 실험 결과- 실험 1. 4가지 기능을 가진 ALU를 Function과 procedure를 사용하여 설계하시오.1) 논리기호와 진리표ALU의 논리기호ALU의 진리표S1S0논리식사용00Y
    리포트 | 5페이지 | 1,500원 | 등록일 2021.10.01
  • 9주차 예비보고서 - 디지털 시스템 설계 및 실험
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험이름 :학번 :실험제목Simple Computer - Data Path실험목표1. ... DATAPATH를 설계 및 구현하고 검증한다.기본지식1. ... Data Path- Data Path는 데이터를 저장하기 위한 레지스터, Microoperation을 수행하기 위한 ALU, Shifter 등의 회로로 구성된다.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.29
  • 디지털설계 실습보고서
    디지털 시스템 설계실습보고서 7실습보고서 71. ... 뜻use ieee.std_logic_unsigned.all; //ieee라는 라이브러리에서 ieee.std_logic_unsigned라는 이름의 패키지를 가져오겠다는 뜻entity ALU ... CarryIn : in std_logic;A, B : in std_logic_vector(3 downto 0);Y : out std_logic_vector(3 downto 0));end ALU
    리포트 | 3페이지 | 1,000원 | 등록일 2021.12.28
  • 6장 가산기와 ALU 그리고 조합논리회로 응용 예비
    반가산기와 전가산기의 설계를 통해 조합논리회로의 설계방법을 공부한다.다. 상용 ALU(산술논리 연산장치)의 기능을 이해한다.라. ... 상용화된 4비트 ALU를 이용하야 두 수의 가감산을 실험함으로써 ALU의 동작과 응용을 확인한다.2. 이론가. ... 산술논리 연산장치1) 산술논리 연산장치ALU는 사칙연산을 비롯하여 여러 가지 산술 및 논리연산 기능을 하나의 MSI내에서 이루어지도록 한 소자이다.4비트 ALU인 74X181은 24개의
    리포트 | 9페이지 | 1,000원 | 등록일 2021.01.06
  • 충북대학교 전자공학부 4비트 산술논리회로와 시뮬레이션 예비보고서
    ◆ 목 적(1) ALU(Arithmetic Logic Unit)의 기능과 구조를 이해한다.(2) MyCAD의 사용법을 익힌다.(3) MyCAD를 이용하여 4비트 ALU설계하고 시뮬레이션한다 ... .◆ 이 론(1) ALU의 기능과 구조 : ALU는 산술 연산회로와 논리 연산회로로 나누어진다. ... MySim을 이용하여 입력 신호를 인가하고 시뮬레이션을 하여 얻은 출력에 대해 설계한 논리 회로의 주어진 기능이 올바로 동작되는지를 검증한다.
    리포트 | 4페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.15
  • 컴퓨터구조 계산기설계보고서
    데이터 선택742834bit Full Adder- 연산 동작 수행7476J-K Flip-Flop- 발생된 Carry 저장 및 SC7474D Flip-Flop- IR 입력 및 SC2.설계1 ... 그리고 SELECT부분이 H인 경우 입력부분은 ALU의 출력값이 궤환된 값을 따르게 된다. ... 먼저 INPUT들은 SA 입력 부분이고, 위쪽 부분은 ALU 출력값을 궤환되어 들어 각각에 주었다.
    리포트 | 9페이지 | 1,500원 | 등록일 2020.01.01
  • u Processor 설계
    )ALU 란? ... 코드 및 설명출력 결과ALU (Arithmetic and Logic Unit)--------------------------p.12ALU 란? ... ALU 로 데이터를 전달하기 위하여 사용하고 2x1 MUX는 Program Memory와 ALU 연산결과를 Data Bus에 올려놓기 위해 사용한다.코드 및 설명2x1 MUX4x1
    리포트 | 18페이지 | 2,000원 | 등록일 2020.10.05
  • 하이브리드 방충망 연구계획서
    아로마 향)■ 아이디어 수렴▷ ALU 기법을 활용하여 아이디어를 다듬고 이외에 몇 가지를 더 추가함1. 탈부착이 가능한 제습 방충망 패드2. ... 하이브리드 방충망 설계도그림 3. 제습 방충망 패드 설계도? ... [공학설계입문 연구계획서]2019. 4. 28.1. 연구주제 :공학설계입문 연구계획서 ∥ 8787 ∥ 공학설계입문 연구계획서하이브리드 방충망 (Hybrid Screen)2.
    리포트 | 6페이지 | 2,000원 | 등록일 2019.12.20 | 수정일 2022.04.21
  • 충북대 기초회로실험 4-비트 산술논리회로 예비
    이용하여 4비트 ALU설계하고 시뮬레이션을 한다.이론ALU는 산술 연산회로와 논리 연산회로로 나누어진다. ... 실험 12. 4-비트 산술논리회로(예비보고서)실험 목적(1) ALU (Arithmetic Logic Unit)의 기능과 구조를 이해한다.(2) MyCAD의 사용법을 익힌다.(3) MyCAD를 ... 심볼을 이용하여 의 8x4 Multiplexer를 그리고 시뮬레이션을 한 다음 심볼화 하라.(5) 실험 1과 실험 3에서 설계된 심볼을 이용하여 의 4비트 산술 연산회로를 그리고
    리포트 | 3페이지 | 1,000원 | 등록일 2021.09.10 | 수정일 2021.09.15
  • A+학점인증 디지털시스템설계 과제2 보고서 Combinational Logics(코드, 설명 포함)
    목표 - 주어진 논리 회로에 대해 VHDL프로그램을 이용하여 설계하고 test bench를 이용하여 시뮬레이션을 하여 결과를 확인할 수 있다.1.
    리포트 | 8페이지 | 3,000원 | 등록일 2021.04.07
  • 컴퓨터구조 CPU설계_Quartus 설계_2024
    설계한 회로들을 공통버스를 이용해 묶어 주었다.위부터 메모리, AR, PC, DR, ALU와 E, AC, INPR, IR, Sequence Counter, TR과 E, R FF, OUTR ... 컴퓨터구조 CPU 보고서제목학과학년학번성명제출일우선 CPU 설계를 하기 전에 컴퓨터 명령어와 기본적인 CPU의 구성을 살펴보고 회로 설계를 진행했다. ... 한 단을 16개 병렬로 연결하여 ALU 16bit를 구성할 수 있고 발생하는 Carry는 직렬로 연결되며 OVERFLOW는 E로 연결되어 저장한다.
    리포트 | 17페이지 | 3,000원 | 등록일 2024.06.01
  • 논리회로실험 비교기와 MUX, ALU
    8가지의 다양한 기능을 가진 ALU설계해본다.2. ... 논리회로설계 실험 예비보고서 #5실험 5. 비교기와 MUX, ALU1. ... 실험 내용- 실험 1. 8가지 기능을 가진 ALU설계하시오.1) 논리기호와 진리표S2S1S0논리식기능000Y = AA의 전송001Y = A+B가산010Y = A-B감산011Y =
    리포트 | 5페이지 | 1,500원 | 등록일 2021.10.01
  • 컴퓨터 구조 계산기_quartus 설계_2024
    SEL이 H일 때 ALU에서 온 출력값이 나오게 된다. ... 과목명컴퓨터 구조과제 제목계산기 설계학번 이름작성 시간제출 날짜간단한 구조의 계산기를 설계할 것이다. ... 이번 설계에서는 HDL (hardware description language) 기법을 이용하여 알고리즘이나 기능 레벨에서의 설계를 진행할 것이며 gate 레벨의 로직 설계를 한다.
    리포트 | 17페이지 | 2,000원 | 등록일 2024.06.07
  • 기초 Risc v 설계 코드와 검증 코드( RiscV 기계어 코드 파일 만는는 타스크 함수 포함)
    소개글Verilog(systemverilog)로 작성한 Risc V의 기본 동작(ADD,SUB,SW,LW,BEQ,SET)을 확인하기 위한 RTL 논리 회로 설계의 소스 코드와 이의 ... 인스트럭션 동작확인을 위한 테스트벤치입니다.설계를 의하여 Risc V 32bit CPU의 기본 동작의 동작을 인스트럭션 코딩을 하였으며,위의 코드 동작을 확인하기 위해 인스트럭션의 ... Risc V 의 모든 인스트럭션 수행을 코딩한 것은 아니고 기본 코드만을 1Cycle 실행 과정을 보임으로써 나중에 인스트럭션의추가적인 기능 추가와 검증에 도움이 되도록 한다.)목차설계
    리포트 | 49페이지 | 10,000원 | 등록일 2021.11.05
  • Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증 예비레포트 7segment(fnd)
    -실험 제목 [Verilog HDL을 이용한 로직게이트 설계 및 FPGA를 통한 검증(ALU, BCD-to-7segmemt)]-관련 이론1. ... 산술논리장치는 컴퓨터 중앙처리장치의 기본 설계 블록이다. ... ALU는 여러 직접회로로 구성되는데, 어떤 IC들이 탑재되어 있느냐에 따라 수행할 수 있는 연산의 종류가 결정된다.Carry Out을 다음 ALU의 CN 에 입력으로 줘서 ALU
    리포트 | 5페이지 | 1,000원 | 등록일 2021.06.20
  • 인하대 VLSI 설계 Microprocessor 프로젝트 결과보고서
    따라서 Pass Tr의 크기를 1, Latch NMOS의 크기를 2로 설계하였다. ... ALU10. Demux+FF+ALU11. SRAM + Demux+FF+ALU12. 고찰13. ... 위 내용들을 종합하여 구현한 ALU 전체 Layout은 [Fig 9.12]이다.OP_ALU가 1일 때 뺄셈, OP_ALU가 0일 때 덧셈을 하는 것을 확인할 수 있다.
    리포트 | 52페이지 | 3,000원 | 등록일 2023.03.15 | 수정일 2023.05.10
  • [건국대학교 논리회로 A+][2024 Ver] 14주차
    B 레지스터에 저장되어 있던 1010이 순서대로 더해지면서 들어갔다.고찰단순히 이론적으로만 공부하는 것에서 멈추지 않고, 지금까지 배운 내용들을 활용하여 직접 다양한 레지스터들을 설계하는 ... 저장되었다.A를 2번 레지스터, B를 3번 레지스터로 설정 후 클록에 상승 에지를 주었더니 , 2번 레지스터 값과 3번 레지스터값의 합이 2번 레지스터에 저장되었다.ALU_Fn을 ... 실습 A-5레지스터에 차례대로 0x01, 0x03, 0x07, 0x0F값을 저장한 후 Asel = 0, BSel = 1로 설정하여 레지스터를 지정 후 Din_OE를 0, ALU_Fn을
    리포트 | 12페이지 | 5,000원 | 등록일 2024.08.14
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:13 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대