• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(387)
  • 리포트(335)
  • 시험자료(36)
  • 방송통신대(13)
  • 논문(2)
  • 자기소개서(1)

"16bit alu" 검색결과 1-20 / 387건

  • Digital Design(16Bit ALU)
    Developement Tool : 크로스 컴파일러(arm-linux-gcc 4.4.1)VM Ware를 사용하여 가상 OS(Ubuntu)를 설치하고 Linux기반의 Android 개발환경을 조성한다.설치 후 root 계정을 이용하여 로그인을 한다.( ID : root ..
    리포트 | 7페이지 | 3,000원 | 등록일 2013.06.09
  • 16비트 ALU
    로지웍스(logicworks)로 구현한 16비트 ALU입니다.
    리포트 | 1,500원 | 등록일 2010.05.23
  • verilog - 16bit ALU , ALU based on Adder 구현
    구현할 ALU based on Adder 의 블록도 (16bit)ALU_based_on_ADDER▶ 게이트 레벨 표현으로 구현한 16비트 ALU 코드 (모듈명 : ALU16bit)▶ ... , XOR 등)를 배열로 구현한 16비트 고속 가산기 코드 (모듈명 : fast16bit_adder_2)▶ 코딩 ALU가 제대로 작동하는지 알기 위한 Test Bench (모듈명 : ... 구조적 표현으로 구현한 16비트 ALU_based_on_ADDER 코드 (모듈명 : ALU_based_on_ADDER)※ 저번 과제에 수행한Primitive Gate (AND, OR
    리포트 | 6페이지 | 1,500원 | 등록일 2013.06.23
  • [VerilogHDL] CLA를 이용한 16bit 산술논리장치(ALU) 설계
    ([그림 3])■ 회로구현게이트레벨구조의 CLA를 이용한 16bit ALU 코드//--------------------16-bit ALU Module------------------ ... -bit ALU CLA Module----------------//module ALU_16bit0, M, S1);ALU4 ALU_0(A[3:0], B[3:0], S0, S1, X[3 ... 디지털설계고속가산기(CLA)를 활용한16bit 산술논리장치(ALU) 설계목 차설계개요개념설계회로구현결과검토■ 설계개요고속가산기를 활용하여 8가지 연산(덧셈, 뺄셈, +1증가, -1감소
    리포트 | 6페이지 | 3,500원 | 등록일 2015.08.02
  • 디지털시스템 verilog 로 16bit ALU구성 프로젝트
    ALU 설계 # 설계 내용연산에 사용되는 입력 데이터는 16비트인 a, b이고, 출력 값은 16비트인 r(result)과 Carry나 Borrow 발생 혹은 오류 발생을 알리는 1비트 ... ALU란? ... # ALU의 정의ALU(산술논리연산장치, Arithmetic and Logical Unit)는 가감승제(+, -, ×, ÷)의 산술연산과 AND, OR, NOT 등의 논리연산을 수행하는
    리포트 | 8페이지 | 2,000원 | 등록일 2013.05.21
  • VHDL을 이용한 16bit ALU 설계 및 파형
    집적회로실습과제 Report16bit ALU 설계전자정보시스템제출일: 5/31ALU (Arithmetic Logic Unit)산술 논리 장치Mathematician HYPERLINK ... ; --ALU 산술연산시 가산기C-out값ALUout : out STD_LOGIC_VECTOR (15 downto 0)); --ALU 출력값end ALU;architecture ALU16bit ... ;entity ALU isPort ( clk : in STD_LOGIC; --ALU 동작클럭A : in STD_LOGIC_VECTOR (15 downto 0); --16bit 입력
    리포트 | 18페이지 | 2,000원 | 등록일 2011.01.11
  • 로직웍스로 구현한 16 bit ALU
    ALU 내의 비트의 흐름과, 그 안에서 수행된 연산들은 게이트 회로에 의해 통제되는데, 게이트 회로는 다시 각 연산코드에 대해 특별한 알고리즘이나 순서를 사용하는 순차 논리 장치(sequence ... 개 요 ALU는 중앙처리장치의 일부로서 컴퓨터 명령어 내에 있는 연산자들에 대해 연산과 논리동작을 담당한다. ... 몇몇 프로세서들에서는 ALU가 연산장치(AU)와 논리장치(LU)의 두 부분으로 나뉘어져있는 경우도 있다.
    리포트 | 9페이지 | 1,500원 | 등록일 2008.12.08
  • 16비트 ALU VHDL설계
    16bit ALU@ 동작CODE동작FLAG비고0000Y←AZtransfer0001Y←A+1C, Z, V, Nincrement0010Y←A+BC, Z, V, Nadd0011Y←A+B+ ... downto 0);c_flag,z_flag, v_flag,n_flag : OUT std_logic);END alu16;ARCHITECTURE arch_alu16 OF alu16 ISBEGINPROCESS ... VDHLLIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_unsigned.all;ENTITY alu16 IS PORT(a,
    리포트 | 3페이지 | 1,000원 | 등록일 2007.06.21
  • 인하대 컴퓨터구조론 과제3 mips multicycle verilog
    Memory의 출력을 destination register에 쓰는 동작도 이루어진다.⑵ TestBenchⅰ) Mulicycle_MIPS 코드의 명령어들을 다음과 같이 분석하였다. 16진수의 ... Immediate field인 65531을 sign extension 하고 shift left 2bit 하면 -20pdated PC = (PC+4)+SignExt(imm)x4이 되고 ... 이번에는 ALU의 첫번째 인풋인 rs register data와 두번째 인풋인 rt register data가 같아 ALU Zero가 1이 된다.
    리포트 | 8페이지 | 2,000원 | 등록일 2021.04.01
  • 인하대 컴퓨터구조론 과제 mips pipeline 설계
    또한, Sign Extension으로 16bit의 immediate 값을 32bit으로 extend한다. ... 또한, Sign_Extend 모듈은 16bit를 sign extension 하여 32bit로 만들 때 사용된다. ... MEMWrite=1 · MemRead=1에 의해 ALUOut을 Data Memory의 address로 받고 DataMemory address의 하위 8비트를 ReadData에 쓴다.5
    리포트 | 10페이지 | 2,000원 | 등록일 2021.04.01
  • FPGA 프로젝트 보고서 (MPU설계) (Digital Systems Design Using Verilog)
    기본적인 opcode는 16bit이며, operand A의 주소, operand B의 주소, 연산코드, 연산결과가 저장될 주소, ram register의 저장 여부로 구성되어 있다.기본적인 ... ADD의 경우 8BIT 범위를 넘어가는 결과값이 나올 경우에 발생하고 SUBTRACT의 경우 OPERANDA 의 값보다 OPERANDB의 값이 더 큰 경우에 발생한다. ... Write data와 write addr Aaddr Baddr Write enable은 control block의 출력인 opcode를 정해둔 규칙의 bit수대로 할당하여 모듈에 인가한다
    리포트 | 37페이지 | 2,000원 | 등록일 2020.03.12 | 수정일 2020.03.14
  • u Processor 설계
    시프트 레지스터는 비트들을 왼쪽 또는 오른쪽으로 이동시키는 기능을 수행하는 레지스터이고, 보수기는 이진 데이터의 보수를 취하는 회로이다. ... 코드 및 설명 (4x1 , 2x1 MUX)출력 결과u_Processor 결과--------------------------------------------p.16고찰 -------- ... )ALU 란?
    리포트 | 18페이지 | 2,000원 | 등록일 2020.10.05
  • 5주차 예비보고서- 디지털 시스템 설계 및 실험
    구현BCD-to-7segment 디코더를 만들기 전에 지금 까지 binary ALU를 통해 나온 결과를 7 segment로 출력하기 위해서 BCD 코드로 변환이 필요하다. ... ]);or or5(e,W1,W2);and and14(W1,~X[1],~X[0]);or or6(f,X[3],X[2],W1);and and15(W1,X[2],~X[1]);and and16 ... 라인 디코더를 이용하여 4bit 입력과 7bit 출력 2개를 갖는 디코더를 설계한다. 7bit 출력 한 개는 일의 자리를 표현하고 나머지 7bit 출력은 10의 자리를 표현한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.29
  • 컴퓨터구조 출석수업 만점
    [문제 2]16개의 2진 제어변수가 있으며 이 제어변수를 묶어 제어단어를 구성한다. 16비트의 제어단어는 필드라는 A, B, D, F, H다섯 부분으로 구성되는데 A필드는 ALU로 ... ALU의 연산 선택 (4비트(10, 11, 12, 13)), H필드는 시프터의 연산 선택 (3비트(14, 15, 16))으로 구성 되어있다.위의 제어단어 내역표를 참고하여 작성해보면R4 ... 입력되는 A버스 선택 (3비트(1, 2, 3)), B필드는 ALU로 입력되는 B버스 선택 (3비트(4, 5, 6)), D필드는 도착 레지스터 선택 (3비트(7, 8, 9)), F필드는
    방송통신대 | 5페이지 | 3,000원 | 등록일 2024.03.16
  • Basic computer
    하였다.IR - 제어신호 : LDIR의 경우에도 16bit 크기지만, 위에서 설계한 것과는 다르게 제어신호가 LD뿐이다. ... 레지스터의 설계1) 16Bit 레지스터AC - 제어신호: LD, INR, CLR, Clock- LD 신호에 의해 클럭의 rising edge에서 0002가 로드 되고 다음 클럭에서 ... CLR신호의 우선순위는 INR신호보다 높다.DR, TR의 경우 AC와 기본적인 기능 및 16bit로 크기가 같아 위의 코딩에서 AC를 각각 DR, TR로 바꾸어 주어 설계 및 검증
    리포트 | 33페이지 | 5,000원 | 등록일 2020.12.16
  • 컴퓨터구조 CPU설계_Quartus 설계_2024
    한 단을 16개 병렬로 연결하여 ALU 16bit를 구성할 수 있고 발생하는 Carry는 직렬로 연결되며 OVERFLOW는 E로 연결되어 저장한다. ... SHL)에 따른 연산을 하도록 되어있다.연산이 된 신호는 JK FF를 통해 AC 레지스터에 저장된다.ALU16bit이고 1bit 한 단은 아래 그림과 같이 구성되어 있다.1bit ... 순서이다.# Memory unit ( SRAM 62256 )사용한 메모리는 SRAM 62256으로 총 16비트의 Addresses와 8비트의 I/O 데이터 버스를 가진다.메모리는
    리포트 | 17페이지 | 3,000원 | 등록일 2024.06.01
  • 방통대 방송대 컴퓨터구조 출석수업과제물 A+
    MUX A와 MUX B는 둘 다 동일하게 16가지 중에 하나를 출력해서 ALU로 내보내게 되므로, 이 MUX A, B의 비트수는 각각 4비트씩 필요하게 된다.D : 도착레지스터 선택 ... 한다.F : 산술논리연산장치(ALU)는 21개의 연산 수행이 필요하고, ALU 연산 선택을 위해서는 5비트가 필요하다.H : 시프터는 7개의 연산 수행을 하며, 시프터 연산 선택을 ... D도 위와 마찬가지로 시프터에서 외부 기억장치로 보낼건지 레지스터 세트 15개 중에 하나로 보낼건지를 정해야 하기 때문에 총 16개의 출력이 나와야 하고, 입력은 4비트가 들어와야
    방송통신대 | 4페이지 | 2,500원 | 등록일 2024.03.18
  • CPU의 논리회로 구성에서 연산장치와 제어장치에 대해 설명하세요
    일반적인 레지스터 크기는 CPU의 구조에 따라 8비트, 16비트, 32비트 또는 64비트를 포함한다.CPU 내에는 각기 다른 유형의 레지스터가 있으며, 각 레지스터는 특정 목적을 수행한다 ... 비트 이동: ALU의 또 다른 핵심 기능은 데이터 워드 내에서 이진 숫자를 왼쪽 또는 오른쪽으로 이동하는 비트 이동이다. ... ALU는 이러한 논리 연산을 입력 번호의 해당 비트에 적용하고 미리 결정된 논리 규칙을 기반으로 출력을 생성한다.ALU는 또한 데이터 비교를 수행하며, 여기에는 두 개의 이진 데이터
    리포트 | 4페이지 | 6,000원 | 등록일 2023.08.31
  • 디지털 시스템 제어공학
    16비트 레지스터들은 주로 데이터 메모리의 16비트 어드레스를 간접 지정하는 어드레스 포인터로 사용되며, 또한 Z레지스터는 LPM,ELPM,SPM 명령에서 프로그램 메모리 영역의 ... 카운터외부 오실레이터에 의한 Real Time Counter2개의 8비트 .PMW 채널2비트에서 16비트까지 조절이 가능한 6개의 PMW 채널8채널의 10비트 ADC- 8개의 싱글 ... 설정내장 입출력 장치별도로 동작하는 프리스케일러와 출력 비교 장치로 구성된 2개의 8비트 타이머/카운터프리스케일러, 출력 비교 장치와 입력 캡처로 구성된 2개의 16비트 타이머/
    리포트 | 12페이지 | 1,500원 | 등록일 2024.05.09
  • 홍익대 디지털논리실험및설계 6주차 예비보고서 A+
    Logic Unit), 두 개의 4비트 문자를 입력받고 16가지의 논리 연산과 16가지의 산술 연산을 수행하여 4비트의 출력값을 내보낸다. ... 이 16가지의 논리 연산과 산술 연산은 M, S0, S1, S2, S3 총 5가지로 선택할 수 있다.다음은 ALU 74181의 구조도와 수행할 수 있는 연산들이다.A0’~A3’, B0 ... 실험 준비1.1 ALU 74181의 datasheet을 읽고 네 자리 이진수의 덧셈을 74181을 이용하여 어떻게 구현할 수 있는지 설명하시오.ALU는 산술 논리 연산 장치로(Arithmetic
    리포트 | 7페이지 | 1,000원 | 등록일 2023.09.18
AI 챗봇
2024년 08월 30일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:38 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대