• 통큰쿠폰이벤트-통합
  • 통합검색(148)
  • 리포트(128)
  • 방송통신대(10)
  • 시험자료(9)
  • 자기소개서(1)

"ALU control" 검색결과 41-60 / 148건

  • single cycle cpu 구현
    ALU에서 연산된 값으로 control signal에 맞추어 memory의 주소를 찾아가 그 값을 register로 보내주기도 하고(lw), 값을 쓰기도 한다.ControlSignal ... write control signali_addrInput32-bitAddress indicating the datai_dataInput32-bitData to be written ... -7Arguments$t0-$t78-15Temporaries$s0-$s716-23Saved$t8-$t924-25More temporaries$gp28Global pointer$n control
    리포트 | 31페이지 | 3,000원 | 등록일 2014.04.15 | 수정일 2015.11.17
  • IoT in Marketing
    Fundamental concept 한국산업기술진흥협회 Functions Metering Tracking control Monitoring Informing Payment Unit ... Ⅱ V alue of IoT Ⅲ A pplication : IoT+Marketing INTERNET OF THINGSⅠ What is IoT ? ... After services Sales of Rolls Royce in 2013 Sensor in engines Real time monitoring Sending data Remote control
    리포트 | 14페이지 | 1,500원 | 등록일 2014.12.29
  • 디지털시스템) Verilog를 이용한 Single cycle 구현
    alu1(Read_data1,ALUSrc_out,ALU_control_lines,ALU_result,zero);Mux32 m2(Read_data2,sign_out,ALUSrc,ALUSrc_out ... [5:0],ALU_control_lines);Shift_left sl1(sign_out,shift_out1);Add a1(add_out,shift_out1,Branch_result) ... ,RegDst,ALUSrc,zero,MemWrite,MemRead,MemtoReg,Branch,Jump;wire Carry;wire [4:0]RegDst_out;wire [3:0]ALU_control_lines
    리포트 | 2,000원 | 등록일 2013.06.09
  • ALU의 Structural구조와 Behavioral구조의 비교
    디지털공학projectStructural`timescale 1ns / 1psmodule ALU(input [31:0] A,B,input [2:0] control_signal,output ... )begincase(control_signal)3'b000:Y ... (input[31:0]A,B,input [2:0] control_signal,output reg [31:0] Y,output reg Cout);always@(A or B or control_signal
    리포트 | 8페이지 | 1,000원 | 등록일 2013.10.29 | 수정일 2016.04.24
  • CISC 형태의 대표적인 Intel 8051 마이크로 컨트롤러와 명령어 수준에 완벽하게 호환됨은 물론, 파이프라인의 구조를 지니고 있는 마이크로 컨트롤러를 가정하여 제시할 것이며, 본 프로그램의 명령어를 중앙처리장치(CPU)에 처리하여 결과를 출력함에 있어서, 머신 사이클이 어떤 방식으로 작용할 것인지에 대하여 논의하시오.
    또한 클록이 변화할 때마다 데이터를 OF 스테이지에 넘겨주는데, EX 스테이지나 WB 스테이지에서 필요한 제어 신호를 함께 넘겨준다. hazard control 블록에서 바로 이전의 ... 이어서 conditional branch 블록은 조건 분기에 해당되는 명령어를 실행하는 곳이다. ... ALU는 산술 연산 및 논리 연산을 모두 다 실행하는 곳으로, 캐리를 처리하는 블록이 존재하며 8비트 단위로 한 번의 계산이 가능하게 된다.
    리포트 | 5페이지 | 3,800원 | 등록일 2016.12.13
  • 공정제어 설계
    Block Diagram for the control system. Prob 3 . ... V alve를 빠져나와서 순수한 물과 혼합되어 들어갈 때, 농도항을 나타내어야 하지만 두 개의 변수 ( 농도 및 흐름 ) 으로 나타낸 Input V alue가 ... (Perfectly Mixing) 모든 흐름에서 농도 구배 ( concentration profile) 은 흐름의 방향에 수직인 면에서 일정하다고 가정한다 .
    리포트 | 11페이지 | 2,000원 | 등록일 2014.06.23
  • RTN을 이용한 프로세서 설계(컴퓨터 구조)
    ( xor , or) 고유식 : D + control unit ( lar , ldr , str ) 팀 역할분담D registerC ontrol UnitC locking logicC ... ( xor , or) 고유식 : D + control unit ( lar , ldr , str ) 팀 역할분담C oncrete RTN Control Sequence rand Step ... ( xor , or) 고유식 : D + control unit ( lar , ldr , str ) 팀 역할분담팀 회의보고F etch E xecution flows F 0 E 0 F
    리포트 | 52페이지 | 2,500원 | 등록일 2013.06.30 | 수정일 2014.06.29
  • AMK (어플라이드 머티어리얼즈) 최신 합격 자소서 + 면접
    그래서 당황도 많이 했구요.그렇기 때문에 아예 처음 들어갈 때 차라리 어차피 다 다르게 진행된다 라고 mind control를 하고 들어가세요. ... 그래서 평소 제가 자신 있었던 ALU 부분을 맡을 수 있었습니다. 과제를 수행하면서 밤을 새는 날도 많았지만 사소한 문제에도 서로 협력하며 완성해 나갔습니다. ... (종교가 있음에 check함) 우리 회사는 엔지니어끼리 술도 가끔 마시는데 종교 있는데 술 먹는거 괜찮아요? 주말이나 야간에 근무할 상황이 생기면 근무할 수 있나요?
    자기소개서 | 7페이지 | 4,000원 | 등록일 2017.01.02 | 수정일 2023.03.20
  • 4bit 가감산 계산기 설계
    그 중 ③은 conditional branch이고 ⑤는 unconditional branch이다.● 제어 신호제어신호 생성에는 여러 가지 방법이 있지만 다음은 Hardwired control ... : 전자공학과학 번:이 름:제 출 일: 13. 05. 09 (목)소요시간: 7시간● 계산기 설계4bit 스위치인 SA, SB의 데이터를 읽어 들여 1bit 명령어 IR을 해석하여 ALU에서 ... 제어 블록도’에 따라서 /T2+T4+T6를 넣어 주었다.● ALU이번 4bit 계산기 설계에서는 덧셈과 뺄셈만 하는 계산기를 설계하므로 다음과 같이 xor를 사용하여 가감산기로 설계했다.동
    리포트 | 8페이지 | 2,000원 | 등록일 2013.05.28
  • 마이크로프로세스 중간고사 족보(2009,2010,2011,2012,2013)
    정해져 있는 특수용도 레지스터들- Instruction Register: 메모리에서 가져온 Instruction(명령어) 코드 저장- Instruction Decode 및 CPU control ... 있도록 한후 DMA controller에게 DMA 확인신호를 보낸다.3) DMA controller는 CPU로부터 DMA 확인신호를 받고 이때부터 자신이 address 와 각종 control ... 마이크로프로세서(microprocessor)와 CPU를 동일한 의미로 사용 한다.다음 그림에 일반적인 마이크로프로세서의 내부 구성여기서 보는 바와 같이 일반적인 마이크로프로세서의 내부는- ALU
    시험자료 | 18페이지 | 2,000원 | 등록일 2016.12.12 | 수정일 2023.04.14
  • VHDL 8비트 CPU설계
    저렴하고 주로 워크스테이션에 쓰인다.중앙처리장치는 비교, 판단, 연산을 담당하는 논리연산장치(arithmetic logic unit)와 명령어의 해석과 실행을 담당하는 제어장치(control ... 본론 내용ⅰ) CUP의 기본구성 및 동작원리ⅱ) 디코더 설계ⅲ) 레지스터 설계ⅳ) MUX 설계ⅴ) 가산기 설계ⅵ) ALU 설계ⅶ) 시프트 설계ⅷ) 종합적인 CUP설계4. ... 논리연산장치(ALU)는 각종 덧셈을 수행하고 결과를 수행하는 가산기(adder)와 산술과 논리연산의 결과를 일시적으로 기억하는 레지스터인 누산기(accumulater), 중앙처리장치에
    리포트 | 29페이지 | 10,000원 | 등록일 2012.12.18 | 수정일 2019.05.30
  • 컴퓨터 구조 - #1-2
    This ALU should be controlled by 2 `selection` bits to choose each operation properly. ... The object of this project ◆ To learn the basic structure and operation of ALU By using provided ... uncompleted schematic circuits, complete the 8-bit arithmetic logic.
    리포트 | 7페이지 | 1,000원 | 등록일 2011.06.26
  • verilog, 베릴로그, 베릴로그로 짠 mips processor, microprocessor
    각 I type 명령어들 간의 control 신호의 차이는 ALUOp 2 비트만 수정하면서 쉽게 세 가지를 혼용할 수 있었다. ... subi, addi, slti : I type 명령어 중 lw, sw를 제외하고 subi와 addi, slti는 추가적인 구성없이 과제에 나와있는 파이프라인 datapath 그림에다 control ... 그렇게 함으로서 ALU에서 결과 값이 나오자마자 $zero 와 비교하여 Branch 여부를 ID단계에서 확정지을 수 있게 만들 수 있었다.2.
    리포트 | 14페이지 | 1,500원 | 등록일 2011.10.13
  • Verilog를 이용한 레지스터(Register) 와 데이터패스(Datapath) 구현 (컴퓨터 아키텍쳐 실습)
    data2ctrl_func, data2ctrl_imm, data2ctrl_target, clk, PC, reset_n);control yangCtrl(data, data2ctrl_opcode ... 내용TSC instruction set을 처리할 수 있는 16bit-CPU의 datapath(ALU 및 Register) 부분을 Verilog를 이용하여 구현한다.datapath 부분만으로는 ... );module control (inst, opcode, rs, rt, rd, func, imm, target_addr, clk, readM);module datapath (OpCode
    리포트 | 3페이지 | 1,000원 | 등록일 2013.03.08
  • verilog로 짠 mips 다중사이클 데이터패스 명령어추가
    ALU_ctrl.v ( ALU control Box를 위한 모듈 )->slti를 수행하기 위해 ALUOp[1:0]중 할당 되지 않은 ALUOp = 2'b11 영역을 ALU에서 slti가 ... 또한 위에서 언급하였다시피 slti를 위해 ALUOp[1:0] 컨트롤 신호를 2'b11일 때에 지정하여 ALU control unit에서 slt 연산 지정 비트인 3‘b111을 지정하게 ... 이렇게 3 부분으로 나눠서 control unit을 구성하였다.
    리포트 | 12페이지 | 3,000원 | 등록일 2011.10.13
  • 베릴로그(cpu설계)
    (microprogram_control)MP_C 는 PC,CAR,Mux_S, IR,CM_rom(control memory)를 인스턴스 하도록 설계하였습니다.- PC는 PI와 , PL을 ... 또한 그 funcition의 상태에 따라 V,C,N,Z의 값이 나오게 되는데 이로인해 microprogramed control의 상태에 영향을 준다.이 구성들을 이용하여 주어진 그림과 ... 같이 서로 연결하여 주었으며 최종적으로 FU의 출력들은 MP_C(microprogramed control)로 연결하여 넘겨주었다.MUX B MB를 선택선으로 받아 RF의 출력과 zerofill
    리포트 | 28페이지 | 3,000원 | 등록일 2013.04.09
  • 전기전자기초실험 Chapter 8 Combination Logic Circuit DesignPre-report
    Conversely, multiplexer has control inputs. ... It determines data output, choosing from data inputs using control inputs. ... signals which control the other parts of the CPU.
    리포트 | 6페이지 | 1,000원 | 등록일 2011.12.18
  • verilog, 베릴로그, 베릴로그로 짠 다중 사이클, 멀티 사이클
    ( ALU control Box를 위한 모듈 )◎concatenate.v (pc[31:28]과 Jump[27:0]을 concatenation하는 모듈 )◎_32bit_ALU.v는 ... mux2to1.v◎mux3to1.v◎mux4to1.v◎signextension.v ( 부호확장을 위한 모듈 )◎shiftleft.v ( shift left 2bits를 위한 모듈 )◎ALU_ctrl.v ... 편의상 ALU의 출력인 carry_out과 overflow는 시뮬레이션에서 삭제 하였다. 처음에 reset의 신호가 들어가서 일단 pc값을 초기화 해주었다.
    리포트 | 10페이지 | 1,000원 | 등록일 2011.10.13
  • 컴퓨터 구조와 운영체제
    저렴하고 주로 워크스테이션에 쓰인다.중앙처리장치는 비교, 판단, 연산을 담당하는 논리연산장치(arithmetic logic unit)와 명령어의 해석과 실행을 담당하는 제어장치(control ... unit)로 구성된다.논리연산장치(ALU)는 각종 덧셈을 수행하고 결과를 수행하는 가산기(adder)와 산술과 논리연산의 결과를 일시적으로 기억하는 레지스터인 누산기(accumulater ... CISC(complex instruction set computer)는 마이크로 프로그래밍을 통해 다양한 명령어 형식을 제공하지만 구조가 복잡해서 생산단가가 비싸다.
    리포트 | 18페이지 | 1,000원 | 등록일 2016.03.06
  • [디지털시스템실험(Verilog)] PICO Processor - Decoder 결과보고서
    opcode[3:0]에 따라 알맞은 값을 결과값으로 출력하고, 이 결과값들을 마지막 MUX로 연결하여 opcode[7:4]에 따라 알맞은 값을 최종 출력 output인 5bit control ... 10,13,14,15nop11movh12movb10,2,3add5,6add sp8,9,10,11,12nop01000,1or2,3and4,5xor6,7,11ls8,9,10rs12,13compare14,15nop11or3and5xor6,11ls8,10rs12,13compare14,15nop01010,1,2,3,10 ... . inst가 위의 표에서 나타낸 opcode이다.assign문 이전에 선언된 5bit의 각 wire는 ALU 각 연산의 op이다.
    리포트 | 4페이지 | 2,000원 | 등록일 2011.10.05
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 18일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:09 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대