• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(46)
  • 리포트(41)
  • 자기소개서(5)

연관검색어

"VHDL 자판기" 검색결과 41-46 / 46건

  • [vhdl]FSM설계, testbench포함
    library ieee; use ieee.std_logic_1164.all; entity vend_ma is port(clk, reset, coin_in, coffee_sel, coffee_serve: in std_logic; ..
    리포트 | 4페이지 | 1,000원 | 등록일 2008.06.14
  • 논리회로 실험RAM, ROM, FSM설계(결과보고서)
    문자 패턴 발생기나 코드 변환기처럼 행하는처리가 일정하고 다량으로 사용되는 것은 기억할 정보를 소자의 제조와 동시에 설정하기 때문이다. ... 축적 프로그램 교환기의 프로그램을 구성하는 순차적 활동. 이러한활동들은 논리적인 상태들의 진행으로 기술되는 호출 과정을가진다.
    리포트 | 7페이지 | 1,000원 | 등록일 2008.01.14
  • Max+plue[VHDL]을 이용한 전자자물쇠 설계
    1. 전자자물쇠 설계⑴ 설계 개요설계하고자 하는 전자자물쇠는 8개의 keypad 스위치를 가지고 있으며, 출력으로 door와 beep가 있습니다. 이 전자자물쇠를 사용하여 문을 열고자 한다면, 4개의 keypad 스위치를 다음과 같이 순차적으로 입력하여야 합니다. 즉,..
    리포트 | 5페이지 | 2,000원 | 등록일 2007.06.27
  • VHDL설계 QUARTUS2, altera, HBE-COMBO2이용한 piezo멜로디 설계(핀설정 완벽)
    VHDL설계 QUARTUS2, altera, HBE-COMBO2이용한 piezo멜로디 설계(핀설정 완벽)
    리포트 | 5,000원 | 등록일 2008.12.22
  • [회로 실험] Vending machine
    Vending MachineAbstract ) 순서 논리를 응용하고 플립플롭의 적당한 사용과 먹스를 사용하여 50원, 100원이 들어갈 수 있는 자판기를 설계해 본다.ObjectivesSequential ... [실험] (여기서부터 Prelab 수행)실험 개요Sequential Vending Machine 설계실험 순서문제의 이해 및 제약 조건이 자판기는 100원 짜리와 50원 짜리 동전만
    리포트 | 14페이지 | 5,000원 | 등록일 2005.09.25
  • 자동판매기 소스(VHDL)
    자동 판매기 설계Coffee 와 Orange를 판매하는 자판기 설계 금액 ( 50원 100원 최대 200원까지) 과 출력 선택( Coffee, Orange) 입력은 Keyboard ... ='1' Reset = won_0구 성 및 구 조coffee와 orange를 판매하는 자동 판매기 설계 prosess state와 state_out는 현 상태와 그에 따른 출력을 기
    리포트 | 22페이지 | 1,000원 | 등록일 2001.10.21
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 18일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:58 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기