• 통큰쿠폰이벤트-통합
  • 통합검색(171)
  • 리포트(163)
  • 자기소개서(8)

"VHDL 표현방법" 검색결과 41-60 / 171건

  • [VHDL]실험14. 주파수 분주 카운터
    표현방법을 학습한다.Chapter 1. ... 입력클럭의 10주기 길이가 출력클럭의 1주기가 된다는 것을 의미한다.)이것을 VHDL소스로 구현해 보면 다음과 같다. ... 학기2011년 1학기과목명디지탈논리회로실험LAB번호실험 제목14주파수 분주 카운터실험 일자제출자 이름제출자 학번팀원 이름팀원 학번*실험 목적(1) 주파수 분주를 위한 카운터의 VHDL
    리포트 | 5페이지 | 1,000원 | 등록일 2011.06.08
  • VHDL 디지털 시계 digital watch
    Component내가 설계한 로직을 부품으로써 사용하여 전체 시스템을 구조적, 계층적으로 표현하는데 사용한다. ... 심볼 파일로 변경하는 방법은 심볼 파일로 변경하고자 하는 파일을 선택 후 마우스 오른쪽 을 클릭하여 Create Symbol File for Current File을 클릭한다. ... 분리해서 카운팅[12진 카운터]12진 카운터는 디지털 타이머에서 시간을 나타낼 때 사용한다.12진 카운터도 60진 카운터와 비슷하다.FND decoder로 표현해야 되기 때문에역시
    리포트 | 15페이지 | 2,000원 | 등록일 2017.11.26 | 수정일 2019.06.14
  • 논리회로설계실험 기본게이트설계 예비보고서
    가까운 VHDL표현 방법으로 구성 요소 및 연결까지 표현하는 방식이다.현재의 디지털 시스템은 큰 규모의 회로를 동작적 모델로 기술된 기능단위의 모듈로 나누고, 이들을 서로 연결하는 ... 동작적 모델링 (Behavioral Modeling)회로의 내부 구조 대신 회로가 무엇을 수행할 것인지에 대한 기능을 기술하는 VHDL표현 방법이다. ... 모델링 (Dataflow Modeling)동작적 모델링 보다 한단계 낮은 레벨의 VHDL표현 방법으로 데이터 흐름을 나타내듯이 시스템의 기능을 나타낸다.
    리포트 | 6페이지 | 1,000원 | 등록일 2018.01.10
  • 논리회로설계실험 스톱워치 설계과제2 결과보고서
    논리회로설계 실험 설계과제 보고서 #2Stopwatch실험 배경 및 목표VHDL을 이용한 여러가지 VHDL표현 방식에 대해서 이해하였으며 그에 따른 여러 조합회로와 순차회로 설계를 ... 따라서 stopwatch 구현 실험에서 스위치, reset 버튼을 사용하여 동작을 제어 할 수 있는 분, 초,초의 시간을 표현하는 stopwatch VHDL 코드를 작성해 보고 RoV-Lab3000을 ... p0(스위치를 누르지 않은 상태)와 stop(멈춤 상태로 첫 구동)으로 설정하여 줌으로써 선언부를 설계하였다.채터링 방지 스위치의 작동과 그에 따른 stopwatch 작동과 멈춤 방법이번에는
    리포트 | 9페이지 | 8,000원 | 등록일 2018.01.10
  • 논리회로설계실습-FSM-예비보고서
    ) chart등으로 표현된다. ... 순차회로 설계 - FSM실험 목표FSM의 두 종류인 밀리 머신과 무어 머신에 대하여 이해하고 VHDL에서의 사용법을 이해한다. ... 사용하는 방법이 있다.
    리포트 | 6페이지 | 1,000원 | 등록일 2018.01.10
  • 인하대 VLSI simple microprocess of design 레포트
    설계 표현이 구체적일수록 검증 시간은 증가한다. ... 가장 대표적인 HDL은 베릴로그와 VHDL이다.회로 설계 (Circuit design)회로 설계는 특정한 논리 함수를 구현하기 위해서 트랜지스터들을 배열하는 과정이다. ... 이와 같은 분할은 오른쪽 그림과 같은 물리적 설계의 목표에 영향을 받은 것이다.계층 (Hierarchy)복잡한 시스템을 설계하기 위한 최선의 방법은 시스템을 단순화된 부분들로 분할하는
    리포트 | 3페이지 | 2,000원 | 등록일 2019.06.22 | 수정일 2020.08.19
  • 아주대학교 논리회로 HDL프로젝트 100점만점보고서 상세설명 + CODE포함
    이를 구현하기 위해 우선은 7 Segment 방식에 대해 표시 방법과 영, 숫자 표현 방법에 대해 정의를 한 후 그에 맞는 Function table을 만들었다. ... 방법은 아래와 같이 정의한다.#. ... 논리회로 VHDL Proj.2#.
    리포트 | 15페이지 | 2,500원 | 등록일 2016.07.09 | 수정일 2016.07.11
  • DSPROJECT1 - Hazard
    (우측은 결과값 F)위의 Design 을 VHDL 형태로 표시하면 다음과 같다.우리는 이 결과에서 F 를 보면 Hazard 가 사라진 형태를 확인 할 수 있었다. ... Hazard 의 상쇄를 만들게 될 것이라 추측한다.우리는 우선 기존의 식인 에 우리는 새로 표시한 빨간 부분을 추가하여 우리는 다음과 같은 식을 얻는다.이를 logic gate 의 형태로 표현하면 ... 실험목표우리는 이번 실험을 통해 hazard 의 발생에 대하여 발생 원리와, 해결 방안을 공부하고, ISE 툴 ( Xilinx 社) 에 대한 이해와, 이를 실행시키는 방법에 대하여
    리포트 | 4페이지 | 1,000원 | 등록일 2017.11.17
  • [대충] 예비 VHDL 설명 및 문법
    VHDL의 특징①장점 : 표준화된 라이브러리, 특정 기술 및 공정에 무관한 설계방법, 폭 넓은 이용 범위,Top-Down 방식의 설계, 재사용이 가능, 설계 기간 단축②단점 : VHDL ... VHDL에 의한 설계 단계별 문법 설명①엔티티 선언-하드웨어 블록의 이름과 입출력 포트 선언②아키텍쳐 몸체 선언-하드웨어 내부를 표현한다.③프로세서문④순차문? ... 자료 흐름적 기법의 내부적 동작표현-병행신호할당문 : 프로세서문에서 지정어인 process, begin, end 등과 감지신호를 없앤 간결한 표현으로서 하나의 프로세서문을 수행하는
    리포트 | 3페이지 | 1,000원 | 등록일 2015.01.17
  • 디지털회로 결과 보고서[부울대수(Karnaugh Map]
    실험 목표- K-map의 개념을 알고 표현할 수 있다.- Don't care 조건의 의미를 이해 하고 사용할 수 있다.- 그룹핑 하는 방법을 알고 부울함수를 최대로 간략화할 수 있다 ... Graphic editor에서의 모습으로 회로를 구성하는 데에서 한계를 느껴 VHDL 텍스트 코딩을 확인하며 실제 회로를 구 성하였다.
    리포트 | 5페이지 | 1,000원 | 등록일 2018.06.12 | 수정일 2018.11.29
  • 논리회로설계실험_라인트레이서_프로젝트_결과보고서
    실험 목표VHDL을 이용한 여러가지 VHDL표현 방식에 대해서 이해하였으며 그에 따른 여러 조합회로와 순차회로 설계를 수행하였다. ... 설계 내용과 방법RoV-Lab 3000키트가 정상적으로 작동하는지 확인해 보기 위하여 테스트 코드들을 작동시켜 보았다. ... 또한 VHDL로 작성된 코드를 RoV-Lab3000을 사용하여 직접 하드웨어로 구현해 보며 사용법과 주의 사항에 대하여 완벽히 숙지하였다.
    리포트 | 9페이지 | 6,000원 | 등록일 2018.01.10
  • 반도체별 동향
    이렇게 폭넓고도 필수적인 용도를 감안하면, 한때 철강이 제조업의 쌀로 표현되었듯 반도체는 현대문명의 쌀이라고 할 수 있다.시스템반도체는 IT 기기의 똑똑하고 유연한 작동을 위해 프로세서와 ... (IEEE1076)- 하드웨어 기술언어인 VHDL 에 관한 표준 정의VIVerilog(IEEE1364)- 하드웨어 기술언어인 Verilog 에 관한 표준 정의OVISTIL(IEEE1450 ... 시스템 반도체11) 시스템반도체 산업 경쟁력 강화 방안42) SoC 설계 방법과 연구협력 체계63) 팹리스 실태 조사와 정부 지원 사업 평가71-2.
    리포트 | 20페이지 | 3,000원 | 등록일 2018.05.30
  • Lab#03 Verilog HDL
    gate primitive design 방법과 같이 설계를 할 수 있다. ... , 실험4에서는 Behavioral 하게 표현하였으므로 Behavioral 한 Full Adder를 4개 이어붙인 것처럼 표현하였다. ... /Verilog)(2) Simulator : iSim(VHDL/Verilog)(3) Preterrte 로직 설계1) Verilog를 이용한 2-bit NAND Gate 작성2) 핀
    리포트 | 20페이지 | 1,500원 | 등록일 2016.09.11
  • 01 논리회로설계실험 결과보고서(And, or gate)
    이를 VHDL에서 동작적 모델링으로 표현했을 때, Y값이 1이고 Z 값이 1일 때는 결과값 F가 1, 진리표에서 X값이 0일 때는 Y,Z값에 상관없이 F값이 1이기 때문에 else ... 논리회로 설계 시에는 동작적 모델링과 자료 흐름 모델링 2가지 방법을 이용하여 설계한다. ... 자료 흐름 모델링 표현에서는 논리식을 NOT, AND, OR 등을 이용하여 표현하였다.
    리포트 | 7페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 서울시립대학교-전자전기컴퓨터설계실험2-제09주-Lab08-Pre
    Digital Design Tool (Version - 14.7)HBE-ComboⅡ-SE Board (included - Xilinx Spartan3 FPGA Chip)Pre-Lab실험 방법 ... HDL 실습 Lab#08 Application Design @ 7-segment and Piezo Control, 서울시립대학교.Datasheet - HBE-Combo II-SE VHDL과 ... 줄 수 있는 최소한의 장치로, 7개의 Parts로 구성되어 있어 7-Segment라고 불린다.16진수를 표현하기 적합하며, 8개의 LED 조합으로 문자를 표시하기 때문에 Decoder를
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • 병렬 가산기 설계 예비보고서
    (1) VHDL 코딩 1) 방법1library IEEE;use IEEE.STD_LOGIC_1164.ALL;use ieee.std_logic_unsigned.all; entity ... 이 코드는 0~9까지의 10진수 1자리를 4비트의 2진수로 표현한 것이다. 10진수를 나타낼 경우 8-4-2-1이라는 자리값을 부여한 4비트의 2진수로 표현하고, 자리값의 합이 10진의 ... 실험 목표 - VHDL 라이브러리의 기본적인 문법을 이해하고, 전가산기를 이용하여 병렬가산기를 설계한다.2.
    리포트 | 5페이지 | 1,000원 | 등록일 2014.07.25
  • 논리회로설계실험 반가산기전가산기설계 결과보고서
    최종적으로 동작적 모델링, 자료 흐름 모델링 그리고 무엇보다도 구조적 모델링 방식의 VHDL 표현방식에 대하여 이해할 수 있었다. ... 출력 C_out도 이와 마찬가지 방법으로 if-else 구문을 이용하여 정의하였다.2) 자료흐름 모델링 방식출력 S_out은 입력 X, Y간의 XOR 연산을 하여 얻은 결과값을 (X ... 이것을 입력 X, Y간의 AND 연산으로 표현된 (X and Y)와 OR 연산하여 최종적으로 출력 C_out을 표현하였다.
    리포트 | 6페이지 | 1,500원 | 등록일 2018.01.10
  • 서울시립대학교 전자전기컴퓨터설계실험2 제11주 Lab10 Pre
    날짜2016. 11.21학번이름Professor조교IntroduceObjectText LCD를 이용해 Digital Watch를 구현하고 Counter의 정상 작동을 확인한다.시/분/초를 표현하고 ... Digital Design Tool (Version - 14.7)HBE-ComboⅡ-SE Board (included - Xilinx Spartan3 FPGA Chip)Pre-Lab실험 방법Digital ... 위의 사진과 거의 동일한 형태를 띄므로, 생략한다.따라서 digital watch가 잘 작동함을 확인할 수 있다.ReferenceDatasheet - HBE-Combo II-SE VHDL
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • 한화 테크윈 합격 자소서
    이 수업에서는 VHDL의 기초 문법과 그 문법을 활용하는 방법을 주로 배우는 수업 이였습니다. ... 저를 가장 잘 표현할 수 있는 Keyword 3가지는 신뢰, 도전, 화합 이 세 가지입니다.첫째로, 저는 신뢰는 사회 생활에서 가장 중요하다고 생각합니다. ... 학기 마지막에 실시한 이 프로젝트는 수업에서 배운 문법을 이용하여 전자시계를 VHDL 프로그램 코딩으로 구현 하는 것 이였습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2016.11.04
  • [디지털논리회로] 프로젝트 - 고속 동작 덧셈기 설계
    또한 VHDL을 사용해 덧셈기를 설계함으로써 VHDL의 코딩 방법을 익히고 동작 확인 과정을 통해 시뮬레이션 툴의 사용법을 익힌다.3. ... SM 방식은 최상위 bit를 수의 부호를 표현하는데 사용하는 방법으로 표현은 간단하지만, 실제로 계산을 해보면 결과 값이 맞지 않아 계산 후에 보정을 해줘야하는 단점이 있다. ... 설계 과정덧셈기를 구현하기 위한 수학적 이론디지털에서 수를 표현하는 방법 중 가장 많이 사용되는 것은 크게 두 가지를 들 수 있는데 하나는 Signed Magnitude(SM) 표현방식이
    리포트 | 19페이지 | 1,000원 | 등록일 2014.05.06
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:37 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대