• 통큰쿠폰이벤트-통합
  • 통합검색(175)
  • 리포트(171)
  • 자기소개서(3)
  • 시험자료(1)

"fnd제어" 검색결과 41-60 / 175건

  • 디지털회로실험 동기식 카운터, 비동기식 카운터
    카운터는 비동기식 카운터처럼 지연시간이 누적된다는 문제를 일으키지 않고 클럭 신호를 증가시킬 수 있다.그러나 동기식 카운터는 공통 클럭 신호와 동기식 작동을 보장하는 데에 필요한 추가 제어 ... 있었다.실험 2) 비동기 10진 카운터와 디코더를 이용한 7-segment 표시기두 번째 실험은 첫 번째 실험과 유사하지만 결과가 LED를 통하여 이진수로 나타나지 않고 7-segment(FND
    리포트 | 7페이지 | 2,000원 | 등록일 2023.10.24
  • 마이크로프로세서+4주차+예비보고서2 인터럽트
    실험목적ATmega128 마이크로컨트롤러의 인터럽트 기능을 이용하여 여러 가지 동작을 구현하는 방법을 알아보며 이의 발생 원리 이해하고 제어 방법을 습득하며 또한 Array FND
    리포트 | 7페이지 | 2,000원 | 등록일 2020.01.02 | 수정일 2020.11.12
  • 마이크로프로세서 (ATMEGA 128) 과제 코드 포함
    KT-M128 를 이용하여 동요를 제어 ,CLCD 와 LED 로 표현한다 . CLCD 로 재생되는 동요가 몇 번째 동요인지를 보여준다 . ... Function ▶ 박자에 따라 LED 가 움직여서 , 보고 있으면 시간가는 줄 모른다 .Function ▶ 버튼을 Push 할 때 각 버튼에 대한 DotMatrix 그림을 출력시키고 FND
    리포트 | 16페이지 | 2,500원 | 등록일 2021.06.11
  • 시립대 전전설2 [8주차 예비] 레포트
    지난 실험 마지막 과제였던 4-bit counter의 출력 값을 FNDFND Array에 표시하시오Parallel Load Data D~A: Bus Switch 1~4Count ... Purpose of this Lab7세그먼트의 동작을 익히고 Verilog HDL 언어를 사용하여 7-segment, Piezo 등 주변 디지털 장치 제어를 하고 실제 실험에서 코딩한 ... Segment Decoder7-Segment기본 적으로 옆에 사진과 같이 0~F의 16진수를 표시하기에 적합하다.8개의 LED의 조합으로 문자 표시가 이루어지기 때문에 7-Segment를 제어하기
    리포트 | 8페이지 | 2,000원 | 등록일 2019.07.29
  • Atmega32 임베디드 프로세서
    out - PORTA //FND control - ... ; PORTA=FND[N10]; //10의자리 표시 delay_ms (10); PORTC=0b00000100; PORTA=FND[N100]; //100의자리 표시 delay_ms ( ... =0xFF; else if ( sw == 0b00001101 ) PORTC=0xAA; else if ( sw == 0b00001110) PORTC=0x55; } }I/O Port 제어
    리포트 | 77페이지 | 1,000원 | 등록일 2019.01.28
  • 시립대 전전설2 [8주차 결과] 레포트
    Purpose of this Lab7세그먼트의 동작을 익히고 Verilog HDL 언어를 사용하여 7-segment, Piezo 등 주변 디지털 장치 제어를 하고 실제 실험에서 코딩한 ... Segment Decoder7-Segment기본 적으로 옆에 사진과 같이 0~F의 16진수를 표시하기에 적합하다.8개의 LED의 조합으로 문자 표시가 이루어지기 때문에 7-Segment를 제어하기 ... 그리고 또 다른 실험으로는 FND Array를 이용하여 하나의 FND의 출력이 아닌 4개의 FND가 연결되어있는 Array에 출력을 하는 실험을 하였다.
    리포트 | 12페이지 | 2,000원 | 등록일 2019.07.29
  • A+받은 TTL과 SPLD로 구현한 59.9초 스톱워치 응용회로 결과보고서
    기초전자공학실험1 응용실험 보고서(추가1) TTL와 SPLD로 구현한 59.9초 스톱워치회로 개요구성도nCLR 스위치 - FND_A에 나타나는 숫자의 초기화를 위해 사용EN 스위치 ... S0이 9 (1001)에서 nLD_S0에 의해 0에서부터 순차적으로 반복된다.7404와 7408, 제어회로를 SPLD로 구성해보았다.10초대 인풋을 1~4번 핀에 받아 S10이 5( ... 0부터 5까지 순차적으로 수를 증가시킨다.G16V8 - 제어회로 역할, 74161아웃풋을 인풋으로 받아 nLD_S0, nLD_S1, nLD_S10으로 0.1초대, 1초대, 10초대를 제어한다.모의실험
    리포트 | 6페이지 | 1,500원 | 등록일 2019.09.06
  • 전자회로실험및설계 : 7-segment (7세그먼트) 예비보고서
    .7-segment(FND) FND2) 7-segment의 원리7-segment에는 8개의 LED가 내장되어 있으며 내장된 LED들을 각각 따로 ON/OFF 제어하여 숫자를 표시할 ... 이것을 FND 다이내믹 제어라고 한다.공통 애노드 공통 캐소드3) 공통 애노드 7-segment와 공통 캐소드 7-segment 비교LED는 애노드에서 캐소드로만 전류가 흐르므로, ... 또한 7-segment는 FND라고도 부르는데, FND는 Flexible Numeric Display의 약자이다.
    리포트 | 2페이지 | 1,000원 | 등록일 2011.12.28
  • 중앙대 마이크로프로세서 응용회로설계실습 4학년 1학기(성적인증) (결과) Keypad 제어
    이번 실습은 임베디드 시스템에 있는 키패드를 눌러서 실행창에 어떤 버튼이 눌러 졌는지를 알아 보는 기본적인 실험과 추가적으로 이전에 배웠던 FND 제어와 접목시켜 키패드를 눌렀을 때 ... 설계목표이번 실습의 목표는 Keypad 제어이다. keypad모듈은 대개 옆에서 보는 바와 같이 16개의 push버튼이 4x4행렬로 배치되어 있다.
    리포트 | 5페이지 | 1,000원 | 등록일 2018.07.05
  • GPIO 이용 FND LED 켜기, 내부 메모리와 레지스터 이해 [결과보고서]
    목적 AVR HBE-MCU-Multi를 이용하여 GPIO 입출력 포트의 방향 제어 및 출력 제어 방법을 습득한다. 또한 FND LED 동작원리도 함께 습득한다. ... GPIO로 FND LED 켜기가. ... FND : Flexible Numeric Display- FND 또는 7-세그먼트 표시기라고도 한다. 0~9까지의 숫자를 표시하기 위해 만들어진 전자 소자로 그림과 같은 모양을 가지고
    리포트 | 8페이지 | 1,500원 | 등록일 2012.07.03
  • 중앙대 마이크로프로세서 응용회로설계실습 4학년 1학기(성적인증) (결과) Dot-Matrix 제어
    이번 실험의 목표는 Dot Matrix 제어이다. Dot Matrix란 출력 장치로서 문자나 숫자를 표시 하기 위해 사용되는 방식 중 하나이다. ... 실습의 원리나 방식은 LED에 숫자를 Counting 하였던 저번 FND 실습과 비슷하므로 저번 실습을 제대로 진행하였다면 이번 실습을 진행하는데 큰 어려움은 없을 것이라 판단된다.
    리포트 | 5페이지 | 1,000원 | 등록일 2018.07.05
  • 디지털시계,digital clock 프로젝트
    AT89S51의 Port1의 출력은 회로도 상의 왼쪽 두 개의 FND제어하고, Port0의 출력은 가운데 두 개의 FND제어, Port2의 출력은 오른쪽 두 개의 FND제어한다.FND와 ... FND / 74LS47그림 3 FND 그림 4 74LS47거시적으로 본다면 AT89S51칩의 연산 결과를 74LS47로 보내어 FND에 숫자를 출력한다. ... FND1이 블랭크인 경우if (t == 0){z = 0xFF;// FND1, FND2 모두 블랭크인 경우t = y & 0xF.0과 P3.1을 읽어 동작모드 결정if (c == 3)
    리포트 | 20페이지 | 1,500원 | 등록일 2017.04.02
  • AVR 마이크로 프로세서 ATmega128 을 이용하여 시계, 스탑 워치, 알람, 다운 카운터 실험 설계 프로젝트 (코드 포함)
    알아야한다는 것을 알았다.1) GPIOGPIO는 범용으로 사용되는 입출력 포트이며 설계자가 마음대로 변형하면서 제어할 수 있도록 제공하는 I/O포트이다. ... I/O port A ~ port F 6개양방향 5bit I/O port G 1개3개의 레지스터에 의해 제어 : DDRx(신호의 방향 결정), PORTx(출력 데이터), PINx(입력 ... 여기서 INTERRUPT를 enable 하는데 세 개의 레지스터를 사용하고 다음과 같다.EIMSK-외부 인터럽트의 개별적인 허용 제어 레지스터-INTn이 1이 되면 해당 인터럽트 인에이블-우리는
    리포트 | 29페이지 | 5,000원 | 등록일 2017.01.11
  • 마이크로 프로세서 보고서(라인트레이서 설계)
    = fnd[Rsignal];os_wait(K_IVL,1,0);}}5. ... 와 DIR1, EN1, DIR2, EN2의 값에따라 DC모터를 제어하게된다. ... DIR1은 왼쪽모터를 제어하며 0V의 출력인 경우 앞, 5V의 출력인 경우 뒤로 가게되며 EN1은 0V일 경우 정지 5V일 경우 동작하게 된다.
    리포트 | 13페이지 | 3,000원 | 등록일 2017.04.11
  • 마이크로프로세서 설계 및 실습 타이머 스탑워치 구현 및 설명
    가장 우선순위가 높다.- 시간의 설정은 현재 정확히 1sec를 만들수 없으므로 loop를 지연을 trial-and-error로 근사값을 찾는다.○.8051과 FND 제어 연결 구성- ... FND0 h P0- FND1 ¼ P1- FND2, 3 h P2- FND2 CS ¼ P3_0- FND3 CS h P3_1- start /stop toggle switch ¼ P3_2 ... P0#define FND1 P1#define FND2_3 P2#define FND2_CS P3_0#define FND3_CS P3_1#defineSW3 P3_2#defineSW4
    리포트 | 10페이지 | 5,000원 | 등록일 2016.05.18 | 수정일 2021.05.13
  • AD컨버터 결과보고서 마이크로컨트롤러
    통신 규약 결정 후 UART 제어 레지스터에 적절히 세팅한다. ... 낸다2) 실험 순서① E 포트를 PE7~PE0를 8핀 케이블로 Array FND의 A~H 포트로 연결한다.② G포트의 PG3~PG0 4비트는 Array-FND 모듈의 C0~C3 포트에 ... 따라서 UART는 컴퓨터에 부착된 직렬 장치들로 향하는 인터페이스를 제어하는 프로그램이 들어 있는 장치이므로 RS232을 통해 원격지와 통신을 지원한다.
    리포트 | 10페이지 | 1,000원 | 등록일 2017.04.02
  • 2017년도 1학기 중앙대학교 전자전기공학부 4학년 마이크로프로세서응용회로설계실습 결과보고서 [6주차]clcd_mm1.c & key-mm.c 코드 해석
    N=2인 이유는 배열의 길이가 2이기 때문입니다.CG_or_DD=1로 설정해주어 set_RAM_address 함수에서 문자열 제어가 가능하도록 합니다.cmd는 counting을 제어하기 ... = mmap(NULL, 2, PROT_WRITE, MAP_SHARED, fd, FND_CS0);// keypad의 원리는 key_out을 통하여 4 column 중 하나씩 high ... =-1) {ch=peek_character; peek_character=-1; return ch;}read(fd0, &ch, 1); return ch;} 00#define FND_CS0
    리포트 | 9페이지 | 1,000원 | 등록일 2017.06.25
  • AD 컨버터
    출력- 광다이오드 : 밝기에 따라 아날로그 출력을 냄2) 실습 목표- ATmega128 A/D 컨버터의 동작 원리 이해- A/D 컨버터 제어 방법 습득(레지스터 설정)- 광다이오드 ... /D 컨버터* A/D 컨버터로 광센서 읽기1) 실습 개요- ATmega128의 A/D 컨버터 기능을 이용하여 광 센서(포토 다이오드)로부터 밝기 정보를 읽어내어 7-segment FND에 ... char lowValue=0;unsigned char highValue=0;unsigned int adcValue=0;unsigned char timer0Cnt=0;void print_FND
    리포트 | 5페이지 | 1,500원 | 등록일 2017.01.11
  • 디지털시계 프로젝트, digital clock 프로젝트
    AT80S51의 Port1-3으로 6개의 FND제어한다. ... 이때 FND는 9V의 전압을 사용한다. 또한 어댑터를 사용할 경우에는 다이오드를 이용하여 전압을 낮춘다. FND와 LED에 과전류를 방지하기 위해 저항을 연결하였고. ... 포트에 출력하면 표시가 되므로 표시 제어가 쉽다는 장점이 있으나 다수의 출력 포트 필요하다는 단점이 있다. (6개의 7세그먼트 구동 시 4x6=24 또는 4x8=32개 필요)④ 7805
    리포트 | 34페이지 | 1,500원 | 등록일 2017.04.02
  • 서울시립대학교-전자전기컴퓨터설계실험2-제09주-Lab08-Pre
    수 있다.7-Segment DecoderPiezo Buzzer주파수에 따라 음계를 내보내며, 소리의 강약 조절은 전압을 통해 어느 정도 가능하나, 정밀한 dB제어는 불가능하다. ... 장치로, 7개의 Parts로 구성되어 있어 7-Segment라고 불린다.16진수를 표현하기 적합하며, 8개의 LED 조합으로 문자를 표시하기 때문에 Decoder를 이용하여 편리하게 제어할 ... A COM 1 ~ 4에 할당한다.seg_data는 최상위비트부터 FND A a ~ dp에 할당한다.Behavioral SimulationSimulation 조건에 맞게 Source
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:21 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대