• 통큰쿠폰이벤트-통합
  • 통합검색(5,189)
  • 리포트(4,857)
  • 시험자료(159)
  • 자기소개서(63)
  • 방송통신대(48)
  • 논문(39)
  • 이력서(8)
  • 서식(7)
  • ppt테마(7)
  • 노하우(1)

"input data" 검색결과 41-60 / 5,189건

  • 파이썬프로그래밍 기말고사
    stock_list, key = itemgetter('name','price'))for i in data:all_stock(**i)if stock_sort == "2":#역정렬data ... no == "4":#주식종목 추가add_stock_name = input("name : ")add_stock_price = input("price : ")stock_list.append ... ("정렬할 방식을 고르세요.(1: 이름정렬, 2:역정렬):")#for stock in stock_list:if stock_sort == "1":#이름순 정렬data = sorted(
    시험자료 | 6페이지 | 2,000원 | 등록일 2022.03.30 | 수정일 2022.04.08
  • 디지털전자회로 2021 퀴즈3 해답
    이런 특성으로 인해 dynamic gate 끼리 back-to-back 으로 연결 시 data 가 전달되지 않는 monotonicity woes 가 발생한다. ... 즉, dynamic gate 는 monotonically rising input 만을 요구하고 이에 따라 monotonically falling input 만을 나타낼 수 있다. ... 을 rising input 으로 바꾸어 back-toback 연결을 가능하게 할 수 있다.
    시험자료 | 5페이지 | 2,500원 | 등록일 2022.11.07
  • 현대자동차 차량 제어 최종합격 자소서
    사용자에게 경고 또는 샤시제어를 OFF 할 수 있는 신호를 주거나, 전차량 Model의 Output Signal을 센서 Data대신 제어기 Input으로 들어갈 수 있도록 구성했습니다.위와 ... 또는 Impulse Input을 주어 구현했습니다. ... 또한 초기단계에서 데이터를 기반으로 분석할 수 있었기 때문에 객관적인 평가가 가능했습니다.
    자기소개서 | 3페이지 | 5,000원 | 등록일 2023.10.13
  • [C언어_실습과제] 문항 4 - 월간 기온 변화에 대한 보고서(참고예시)
    데이터 처리 2.1 월 최고 기온(hiDay)을 기록한 날짜를 계산한다(getHiDay). 2.2 월 최저 기온(lowDay)을 기록한 날짜를 계산한다(getLowDay). 2.3 ... 입력 1.1 월(month)을 입력한다 1.2 달에 대한 최대 일자(dayNum) 계산한다(getMonthDay). 1.3 온도를 입력할 데이터 공간(days)을 할당하고 값을 입력 ... 기온 보고서 -------------------- 가장 덥은 날: 30.5°C 가장 추운 날: 21.2°C 한 달 평균 온도: 26.4°C 관련 함수 및 식 입력 월에 의해 입력 데이터
    리포트 | 7페이지 | 5,000원 | 등록일 2023.10.24
  • 논리회로실험 예비보고서9
    when they do exist;3. to be honest and realistic in stating claims or estimates based on available data ... Selection input이 1이고 input이 1일 때 Read/Write이 0이면 Q값은 1이고 Selection input이 1이고 input이 0일 때 Read/Write이 ... 쓸 때 GW는 쓰기 인에이블 입력, WA,WB은 작성한 데이터의 저장장소를 정하는 입력, D1~D4은 저장할 데이터 정보를 의미하고 데이터를 읽을 때 입력 GRN은 읽기 인에이블
    리포트 | 7페이지 | 1,500원 | 등록일 2020.09.18
  • 전자전기컴퓨터설계실험3 - 예비레포트 - 실험04-OP AMP(Basic Circuit) (A+)
    이러한 오차를 줄이기 위해서는 여러 번 실험을 반복해 많은 데이터를 가지게 되면 오차가 줄어든 다.(2) 실험 소자의 한계(가) OP Amp의 Data Sheet에서 언급했듯이 Input ... SEQ 그림 \* ARABIC 6 - ua741 Open Loop Frequency Response또 Data sheet에 Input offset voltage를 조절할 수 있는 방법도 ... (가) 주어진 회로와 구하고자 하는 데이터3개의 입력 신호를 Add 하는 회로를 OP Amp를 사용하여 설계하고 실험을 통하여 동작을 검증하시오.
    리포트 | 14페이지 | 2,000원 | 등록일 2020.11.26 | 수정일 2020.11.29
  • 컴퓨터의 이해 중간과제
    입력부(USER Input), 자동 입력부(AUTO Input) 및 글자(문자) 확인창을 포함된다.③é 그 기기에 포 있으며, 팔찌 모양으로 설계하여 힌지와 래치를 이용하여 사용자의 ... 검색엔진은 아이콘 입력, 상용문구 입력, 사용자 맞춤형 지능형 입력, 자동입력 등의 검색기능을 제공하고, 아이콘 입력부(ICON Input), 문자 입력부(TEXT Input), 사용자 ... 가상세계(Virtual Worlds)는 현실과 유사하거나 혹은 완전히 다른 대안적 세계를 디지털 데이터로 구축한 것이다.
    방송통신대 | 7페이지 | 5,000원 | 등록일 2022.03.30
  • 방송통신대학교_컴퓨터과학과_자료구조
    이러한 프로그래밍이란 쉽게 말하면 ‘데이터를 표현하고, 표현된 데이터를 처리하는 것’이라 생각합니다. ... 즉, ‘자료구조’라는 입력값(INPUT)을 넣어 알고리즘(FUNCTION f)이란 절차를 진행 시켜 결과물(OUTPUT)을 얻어 내는 과정이 프로그래밍입니다. ... 함수는 입력값에 따라 출력값을 만들어 내는 공장이라고 할 수 있습니다.그림 1 함수위의 그림에 대입해보면 알맞은 형식의 값을 넣으면(INPUT x) 함수의 내부 로직(FUNCTION
    방송통신대 | 5페이지 | 3,000원 | 등록일 2022.07.24
  • 디지털 시스템 설계 및 실습 병렬 직렬 변환회로 설계 verilog
    실습목적레지스터는 데이터를 저장하기 위해 사용되는 기억장치다. 레지스터의 종류는 다양하며, 시프트 레지스터는 클럭이 입력될 때 마다 저장된 데이터를 1비트씩 이동시킨다. ... 코드1) Shift_Register.vmodule Shift_Register(clk,load,rst,din,sin,sout,qout);input clk, load, rst;input ... 따라서 병렬 입력을 갖는 시프트 레지스터를 이용하면, 병렬로 입력된 데이터를 매 클럭마다 1비트씩 출력시키는 병렬-직렬 변환회로도 설계할 수 있다.
    리포트 | 3페이지 | 1,000원 | 등록일 2021.03.24
  • 인하대 컴퓨터구조론 과제 mips pipeline 설계
    MEM Stage에서 branch=0 · MEMRead=0 · MEMWrite=1 제어 신호에 의해 rt register data데이터 메모리에 쓴다. ... ALU.VALU 모듈에서 ALU_Input1과 ALU_Input2, 그리고 ALU_Control을 input으로 선언한다. ... DataMemory.v & DMEMORY.vDataMemory 모듈과 DMEMORY 모듈은 lw와 sw 등 데이터 메모리에 접근 하는 명령어의 경우에 사용된다.
    리포트 | 10페이지 | 2,000원 | 등록일 2021.04.01
  • (A+/이론/예상결과/고찰) 아주대 통신실험 예비보고서13
    이것은 BPSK Modulator의 DATA INPUT값을 low로 만든다. 현재 아날로그 BPSK 신호는 변조되지 않는 sine wave 이다. ... 이것은 데이터 신호의 bit rate를 2500bits/s로 설정한다. ... Pseudo-Random Binary Sequency Generator의 DELAYED OUTPUT과 BPSK Modulator의 DATA INPUT과 다시 연결한다.
    리포트 | 12페이지 | 1,500원 | 등록일 2021.10.24
  • 서울시립대 전전설2 Lab-06 예비리포트 (2020 최신)
    데이터를 저장할 때 일반적으로 ‘레지스터’라 하는 플립플롭 그룹에 저장하는데 이 때 수행되는 동작을 Data transfer라 한다. 왼쪽은 병렬 데이터 전송의 예이다. ... N비트의 SIPO 레지스터는 n개의 클럭이 발생하는 데이터에 의해 병렬 데이터가 결정되며 shift register와 같은 동작을 한다.아래는 4bit SIPO의 예이다.CLRN=0일 ... D3~D0의 값은 레지스터 B의 input으로 각각 들어가고 있는데 clock이 rising할 때 이 값들이 register B의 input에서 출력 B3~B0로 각각 전달되게 된다.레지스터
    리포트 | 15페이지 | 1,500원 | 등록일 2021.09.10
  • 서울시립대 전전설2 Lab-06 결과리포트 (2020 최신)
    데이터를 저장할 때 일반적으로 ‘레지스터’라 하는 플립플롭 그룹에 저장하는데 이 때 수행되는 동작을 Data transfer라 한다. 왼쪽은 병렬 데이터 전송의 예이다. ... N비트의 SIPO 레지스터는 n개의 클럭이 발생하는 데이터에 의해 병렬 데이터가 결정되며 shift register와 같은 동작을 한다.아래는 4bit SIPO의 예이다.CLRN=0일 ... D3~D0의 값은 레지스터 B의 input으로 각각 들어가고 있는데 clock이 rising할 때 이 값들이 register B의 input에서 출력 B3~B0로 각각 전달되게 된다.레지스터
    리포트 | 21페이지 | 1,500원 | 등록일 2021.09.10
  • 인하대 전자회로실험1 기타소자및 아날로그입력
    = digitalRead(7); // input변수를 선언한뒤에 7번핀에 들어온 신호를 읽어주는데 이때 1/0으로 HIGH/LOW형태로 읽어주어 input형에 입력하게된다.If(input ... 이 때 A0의 값은 가변저항의 변화에 따라 바뀌게 된다.If(data ... 두 번째 실험에서는 analogRead함수를 이용하여, 가변저항을통해 바뀐 전압값 A0를 아날로그 형태로 data변수에 넣었다.
    리포트 | 9페이지 | 3,000원 | 등록일 2020.07.07
  • 아주대 논리회로실험 실험10 DAC & ADC converter 예비보고서
    when they do exist;3. to be honest and realistic in stating claims or estimates based on available data ... 들어오는 input값의 결과를 핀 8번에 출력, 핀11번에 들어오는 input값의 결과를 핀 10번에 번에 들어오는 input값의 결과를 핀2번에 출력하고, 핀3번에 들어오는 input값의 ... 핀1번에 들어오는 input값의 결과를 핀2번에 출력하고, 핀3번에 들어오는 input값의 결과를 핀4번에 출력, 핀5번에 들어오는 input값의 결과를 핀 6번에 출력, 핀9번에
    리포트 | 6페이지 | 1,000원 | 등록일 2021.05.07 | 수정일 2021.07.23
  • 엑셀을 이용한 통계분석
    Regression analysis (회귀 분석 데이터 설정 및 진행)? Input data on X-axis and Y-axis as follow. ... (앞서 언급한 데이터 분석을 클릭한 후 ‘회귀분석’을 선택한다면 다음과 같은 창이 뜬다.)? Input the X-axis and Y-axis range. ... Among the data category, click the ‘data analysis’.카테고리 중 데이터를 선택 후 가장 오른 쪽을 보면 ‘데이터 분석’이 있다.
    리포트 | 10페이지 | 2,000원 | 등록일 2022.02.08
  • 아트메가를 활용한 개발과정 및 소스
    ; init_devices (); printf ( INPUT NUM. ... if ( get_data == '0'){ printf ( 사진의 인원은 %d 명 입니다 . ... LCD_OutString ( LCD_ADDR , Waiting for ); LCD_SetCsr ( LCD_ADDR , 2, 1); LCD_OutString ( LCD_ADDR , Input
    리포트 | 16페이지 | 2,500원 | 등록일 2021.11.18
  • 아두이노 LIGHT센서+ULTRASONIC 센서 예비레포트
    bit 8, parity None, stop bit 1//UART 0을 전송속고 115200, 데이터 비트8, 패리티 없음, 스탑 비트1로 설정Serial.begin(115200) ... None, stop bit 1Serial.begin(115200); // same Serial.begin(115200, SERIAL_8N1)/UART 0을 전송속고 115200, 데이터 ... ; // same Serial.begin(115200, SERIAL_8N1)// CDS analog pin Input SetuppinMode(pin_CDS, INPUT); //CDS
    리포트 | 7페이지 | 1,500원 | 등록일 2021.12.28
  • 디지털시스템실험 - simple computer 결과보고서
    Z9(CLK,MW,ADATA,BDATA,DataIn);endmodulemodule Data_Memory(CLK,WR,A,D_IN,Q);input CLK, WR;input [3:0] ... A;input [3:0] D_IN;output [3:0] Q;reg [3:0] SRAM [15:0];initial begin// Data를 이곳에 Load 한다. // 곱셈의 대상이 ... 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험 결과보고서디지털 시스템 설계 및 실험 2016 전기전자공학부이름 :학번 :실험제목Simple Computer - Data
    리포트 | 8페이지 | 1,500원 | 등록일 2020.11.14
  • 건국대학교 마이크로프로세서응용 Lab8 A+
    ************************************;* Put String in Data Memory;* Input : Starting address should be ... ************************************;* UART Transmit;* function : send a character to RS232C port;* input ... UDR0ret;**************************************************************;* Put String in program Memory;* Input
    리포트 | 25페이지 | 5,000원 | 등록일 2024.04.11 | 수정일 2024.06.12
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:52 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대