• 통큰쿠폰이벤트-통합
  • 통합검색(5,189)
  • 리포트(4,857)
  • 시험자료(159)
  • 자기소개서(63)
  • 방송통신대(48)
  • 논문(39)
  • 이력서(8)
  • 서식(7)
  • ppt테마(7)
  • 노하우(1)

"input data" 검색결과 101-120 / 5,189건

  • (2024학년도 1학기, 기말과제물, 사회변화와미디어트렌드, 공통) 알고리즘의 1) 개념을 심화해서 제시하고, 2) 긍정적인 영향과 부정적인 영향을 예를 들어서 설명한 후, 3) 미래를 전망해 보시오.
    각각의 기본 요소를 통해 알고리즘의 심화된 개념을 설명하면 다음과 같다.①입력(Input)알고리즘의 입력은 문제를 해결하기 위해 알고리즘이 처리하는 데이터로 알고리즘의 작동에 필요한 ... 알고리즘을 구성하는 기본 요소에는 입력 (Input), 출력 (Output), 명확성 (Clearness), 유한성 (Finiteness), 효과성 (Effectiveness) 등이 ... 초기 정보나 데이터이다.
    방송통신대 | 7페이지 | 6,000원 | 등록일 2024.04.29
  • [한양대] 계측공학 2번째 과제(체그 및 교수님 풀이 참고한 만점 레포트)
    input에 대한 추세선은 이고 decreasing input에 대한 추세선은 이며 두 출력값을 평균 내어 합친 추세선은 이다.3.33 The force-deflection data ... (실제 데이터는 마지막이 69.5라서 답이 조금 다르게 나오나 잘린 사진을 감안하여 69로 풂)3.20 Spacer blocks are manufactured in quantity ... 한편, 이므로 10번의 측정 평균의 데이터를 대입하면 이므로 의 범위에서 최적 추정치는 2050 psi임을 알 수 있다.3.14 In order to determine the power
    리포트 | 9페이지 | 2,500원 | 등록일 2024.06.10
  • Quick sort 퀵 정렬 코드 및 해석
    Quick Sort 발표자 ) 홍길동fopen 함수를 이용하여 ex_input.txt 파일을 r ( 읽기 모드 ) 로 file open. fscanf 함수를 이용하여 ex_input.txt ... 모두 왼쪽 부분 리스트로 , 큰 데이터는 모두 오른쪽 부분 리스트로 옮겨 짐 . list[low] 가 피봇 보다 작으면 low 의 값 증가 , List[high] 가 피봇 보다 크면 ... 차원 배열 s_buff 에 2 차원 배열 buff 의 한 행씩 값을 저장하여 배열 초기화 .정렬 전 , 초기 상태 출력피봇을 기준으로 2 개의 부분 리스트로 나누어 피봇 보다 작은 데이터
    리포트 | 10페이지 | 1,000원 | 등록일 2021.04.07 | 수정일 2021.06.06
  • 연세대학교 전기전자공학부 20-1학기 기초디지털실험 week 5 보고서
    : reset, clock, outputs : DB, RS, R/W, E)resetn,lcdclk,lcd_rs,lcd_rw,lcd_en,lcd_data);input resetn; ... Because the logic has only two inputs, it generates the outputs, which are the inputs of the text-LCD ... These inputs are made by certain pre-designed logic circuit which has the only inputs of a reset signal
    리포트 | 14페이지 | 3,000원 | 등록일 2020.08.18
  • 2023년1학년1학기_파이썬프로그래밍기초_출석대체과제물
    "1 1 1")print("3 9 27")print("5 25 125")print("7 49 343")[결과]선택 구조 프로그램 작성[코드]#사용자 점수 입력score = int(input ... 동적 타이핑 언어파이썬 언어는 동적 타이핑 언어로, 변수의 데이터 유형을 사전에 선언할 필요 없이 변수에 어떤 값을 할당하느냐에 따라 데이터 유형이 동적으로 결정된다. ... 변수가 정수, 문자열 등 어떠한 데이터 유형의 값이라도 사용할 수 있는 것이다.
    방송통신대 | 4페이지 | 5,000원 | 등록일 2024.02.21
  • 시립대_전전설2_Velilog_예비리포트_7주차
    데이터의 입력은 State를 바꾸는 데에만 사용되고, 결과에 영향을 미치지 않는다3. ... 이 두가지의 Machine을 비교해보면 다음과 같다.특징Mealy MachineMoore Machine1.현재 상태와 입력 조건에 의해서 State도 변경되고, 출력 데이터도 생성됨 ... 결과는 State에 의해서 결정된다.State TableMealy MachineMoore MachinePresent State과 x(Input) 을 Input으로 생각하면 되고, Next
    리포트 | 14페이지 | 2,000원 | 등록일 2021.12.11 | 수정일 2022.04.21
  • 실험계획법의 이해 3장 연습문제 풀이
    anova data=ex3_4;class text temp;model y= text temp text*temp;means text / tukey;run;3.4data ex4;input ... =ex2;class temp mix;model y=temp mix temp*mix;run;(4)data ex2;input temp mix y @@;cards;300 1 5 300 1 ... =ex4;class part operator;model y=part operator;random part operator;run;data ex4;input part operator
    리포트 | 16페이지 | 3,000원 | 등록일 2021.05.23 | 수정일 2022.11.07
  • 건국대학교 전기전자기초설계및소프트웨어실습 3주차 레포트 A+
    문자열을 받아, 이 안에 있는 공백의 개수를 세는 코드를 구현한다.4.Background○ 배열 : 배열은 동일한 타입의 데이터가 여러 개 저장되어있는 데이터 저장 장소이다. ... 배열 안에 들어 있는 각각의 데이터들은 정수로 되어 있는 번호에 의해 접근된다. 배열은 메모리 공간에서 항상 연속적으로 할당된다. ... -> 조사해서 레포트에 작성. https://en.cppreference.com/w/c/io/fscanf 참고printf("your text is : %s", str);}B.Data3
    리포트 | 18페이지 | 7,000원 | 등록일 2024.04.14 | 수정일 2024.04.22
  • 아주대학교 논리회로실험 / 9번 실험 RAM 예비보고서
    위쪽의 R-S 플립플롭이 A, 아래쪽의 플립플롭이 B라고 볼 수 있는데, Input 0가 1이면 A를 사용하고 Input 1이 1이면 B를 사용한다는 결과가 나온다. ... 실험 도구 및 소자IC 이름74HC00 (Quad 2-input NAND Gate)핀 구성함수 다이어그램논리 다이어그램진리표ABY001011101110IC 이름74HC03 (Quad ... 2-input NAND Gate)핀 구성함수 다이어그램논리 다이어그램진리표ABY00Z01Z10Z110※Z는 높은 임피던스 값에 의한 OFF 상태를 의미한다.IC 이름74HC670
    리포트 | 5페이지 | 1,000원 | 등록일 2021.07.20
  • 전자전기컴퓨터설계실험2(전전설2) 계산기 프로젝트 팩토리얼 및 quiz mode 포함
    따라서 input에 대한 data를 {4’b0011, ****}과 같은 방식을 이용해서 표현하였다. ... Method ∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙∙ 6실험을 통해 구하고자 하는 데이터와 ... [7:0] LCD_DATA;reg [2:0]State;// LCD표시input game_mode;output sound;wire sound;reg r;reg buffer;// game_mode에서
    리포트 | 35페이지 | 20,000원 | 등록일 2020.12.22
  • 7세그먼트FND디코더 verilog 설계
    clk100Hz;integer m=0;input [3:0] bcd;output fnda;output fndb;output fndc;output fndd;output fnde;output ... 00010110000200101101101300111111001401000110011501011011011601101011111701111110000810001111111910011111011A10101110111b10110011111C11001001110d11010111101E11101001111F11111000111Verilog 코드module Fnd3(clk100Hz, bcd,fnda, fndb, fndc, fndd, fnde, fndf, fndg);input ... =fnd_data[4];assign fndd=fnd_data[3];assign fnde=fnd_data[2];assign fndf=fnd_data[1];assign fndg=fnd_data
    리포트 | 4페이지 | 2,000원 | 등록일 2020.12.19
  • Serial Communication
    데이터를 출력하기 위한 신호선MISOMaster Input, Slave Output슬레이브에서 데이터를 출력하기 위한 신호선SCKClock 신호선SSSlave Select데이터를 ... Slave 가 필요하다.)- SPI 통신은 완전 송수신이 가능하며, 전송기가 필요없으며, 단순한 하드웨어 인터페이 스로 구성되어 있다.MOSIMaster Output, Slave Input마스터에서 ... 6번 비트(WCOL) : 데이터 전송 충돌 여부3) SPDR- SPDR은 전송할 데이터를 setup하거나 데이터를 받을 때 사용되는 레지스터이다.4.
    리포트 | 3페이지 | 1,000원 | 등록일 2022.04.07
  • 서울시립대 전전설3 5주차 결과 보고서 Op-amp 2
    결과 보고서1) Experimental Results : 아래 질문에 답하기 위하여 데이터를 정리하세요. ... offset voltage와 input offset current의 측정)C. ... amplifier 회로를 사용하기 때문에, 이를 참고하여 실험을 계획하세요.2) 실험 2 : Slew-rate limitation3) 실험 3 : DC imperfection (Input
    시험자료 | 7페이지 | 2,000원 | 등록일 2024.07.15 | 수정일 2024.07.17
  • 부산대 어드벤처디자인 실험 7, 8 결과보고서(논리게이트)
    실험 7, 8결과보고서1.진행한 실험에 대한 결과를 작성(이론과 실험데이터 비교)[실험 7]7.1 실험 목적기본적인 논리 게이트인 AND, OR, NOT, NAND, NOR 등의 기능에 ... NOT gate 3pin에 A input을 넣고 NAND gate 4, 5 pin에 각각 B와 C의 input을 넣었다. ... -실험 과정5, 6 pin에 input을 넣고 2,3pin에 input을 넣어서 1 pin과 4 pin에 output을 만든다.
    리포트 | 7페이지 | 1,500원 | 등록일 2022.04.09
  • SRAM 설계프로젝트 ppt
    design DESIGN Top Cell INPUT VDD, VSS A0, A1, A2, A3 PRECHARGE SA_CLK WORD_EN WRITE DATA_IN[0:15] OUTPUT ... 약 3.7VSRAM read margin DESIGN 약 1.5VPrecharge DESIGN PRECHARGE VDD PRECHARGE4-to-16 Decoder DESIGN 4input ... 16WORD 4input NAND inverter WORD Control logic WORD Control logic A1 A2 A3 A4 A1_B A2_B A3_B A4_B WORD_ENSense-Amplifier
    리포트 | 22페이지 | 3,000원 | 등록일 2024.02.24
  • 광운대학교 전기공학실험 실험6. 논리조합회로의 설계 예비레포트 [참고용]
    - 데이터의 크기가 2 이상이고 7 이하면 출력이 1이 되는가? ... 4개-2-input OR 7432 4개-2-input AND 7408 4개-NOT 7404 4개-3-input NAND 7410 2개-3-input AND 7411 2개-3-input ... (안된다면, 이유작성 및 수정)(5) 예비보고서 5항의 회로를 결선하고 그 결과가 설계요구조건에 부합하는지 확인하라.- 데이터의 변화 범위가 0~9 인가?
    리포트 | 12페이지 | 1,500원 | 등록일 2024.01.02
  • c++ 13강
    이름과 연령을 데이터 멤버로 하고 이 데이터 멤버에 데이터를 입력하는 함수 input과 출력하는 프린터 함수를 멤버함수로 하는 클래스 Person을 작성하시오.?3. ... 이름, 국어, 수학, 영어의 점수 합계, 평균을 데이터 멤버로 하고, 입력 함수 input, 출력 함수 print, 3과목의 합계를 구하는 함수 sum으로 되는 클래스 Person을 ... O정적 데이터 멤버에는 객체가 생성되어 있지 않으면 접근할 수 없다.? X정적이 아닌 통상의 데이터 멤버에는 객체가 생성되어 있지 않아도 접근할 수 있다.?
    시험자료 | 4페이지 | 1,500원 | 등록일 2020.11.11
  • 건국대학교 마이크로프로세서응용 Lab4, Lab5 A+
    이와 같은 과정을 1이 없을 때까지 반복한 후, 데이터의 최종적인 1의 개수를 r24로 옮겨주어 그것을 Buffer에 저장하도록 했다. ... ; sum-Lforever:rjmp forever ;;*********************************************;* Function ArraySum :;* Input ... r22 ;forever:rjmp forever ;;*********************************************;* Function Int2Ascii :;* Input
    리포트 | 25페이지 | 5,000원 | 등록일 2024.04.11 | 수정일 2024.04.22
  • H-infinity제어기 DC모터 제어
    PXI 컨트롤러 / PXIe-3985→ 컴퓨터 CPU 역할을 하며 제어 성능을 결정 (Microsoft OS / Real-time OS 포함) 모듈에서 받아온 데이터들을 ... ), 10ns(output)→ Input range – ± 0.2, 1, 5, 10V Output range – ± 10V (5V로 제한) ... differential / 16 single ended 출력 채널 – 2채널 (1채널-900kS/s , 2채널-840kS/s)→ 분해능 – 16bit→ Sample rate – 500kS/s(input
    리포트 | 47페이지 | 1,000원 | 등록일 2021.05.21
  • 부경대 컴퓨터공학 컴퓨터네트워크 계산기서버 만들기, 와이어샤크
    str(result).encode("utf-8"))continueelif (data == 'exit'):breakelse:print("Wrong input, please input ... 네트워크 구조네트워크의 IP는 127.0.01로 설정각각의 서버는 다른 포트번호를 주어 접속하게 한다.클라이언트에서 연산자를 선택하면 중계 서버는 해당 서버로 연결을 하고 클라이언트의 데이터를 ... ("utf-8"))num2 = int(input("두번째 숫자 : "))s.sendall(str(num2).encode("utf-8"))data = s.recv(1024)strings
    리포트 | 17페이지 | 5,000원 | 등록일 2021.01.14 | 수정일 2023.06.23
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:06 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대