• 통큰쿠폰이벤트-통합
  • 통합검색(22,650)
  • 리포트(17,936)
  • 자기소개서(2,996)
  • 시험자료(1,033)
  • 방송통신대(431)
  • 논문(164)
  • 서식(60)
  • ppt테마(18)
  • 이력서(9)
  • 노하우(3)

"제어설계" 검색결과 621-640 / 22,650건

  • (아두이노와 서보모터를 활용한) 구슬 미로 탈출 게임기 제작
    장치 제작 : 신발 끈을 사용하여 각 축 제어◀신발 끈을 이용하여 각 축 제어다) 중심축 고정대 제작◀중심축 고정-깊이:6mm,-상판 최상단 기준으로 아래로 3mm, 위로 3mm( ... 본 론(프로젝트 일지)가. 2021.12.01 프로젝트 설계 시작1) 설계 내용가) 설계 항목 정하기(1) 먼저 회로도 제작, 미로 계획 제작, 외관 디자인 제작나) 재료 선정견적서 ... usp=sharing▲ 회로도 완성본나. 2021.12.02 회로도 고안 및 전체적인 구조 설계가) 설계 항목별 세부 설계(1) 회로도아두이노 회로 제작할 수 있는 툴이 있어 이 툴을
    리포트 | 15페이지 | 1,000원 | 등록일 2022.12.07
  • [11~23-1회차] 전기기사 실기 단답 모음 ( 빈칸 ver 포함 )
    23-1회차 5.건축전기설비에서 전력설비의 간선을 설계하고자한다. 간선 설계시 고려사항? ... ①전압에 의한 제어②전류에 의한 제어③역률에 의한 제어④무효 전력에 의한 제어⑤시간에 의한 제어11. 가스 절연 변전소의 특징 5가지? ... 중 자동 조작 방식을 제어 요소에 따라 분류할 때 그 제어요소에는 어떤 것이 있는지?
    시험자료 | 26페이지 | 4,000원 | 등록일 2023.10.26 | 수정일 2023.11.10
  • 시스템분석설계 ) 컴퓨터 시스템의 종류에 대하여 구체적으로 설명하시오.
    시스템분석설계컴퓨터 시스템의 종류에 대하여 구체적으로 설명하시오.시스템분석설계컴퓨터 시스템의 종류에 대하여 구체적으로 설명하시오.- 컴퓨터 시스템의 종류 4가지를 열거하고 각 컴퓨터 ... 서론시스템이란 예정된 기능을 협동으로 수행하기 위해 설계된 상호 작용을 가진 요소의 유기적인 집합체를 의미한다. ... 실시간 시스템의 대표적인 연구 분야에는 설계 방법론, 명세와 검증, 스케쥴링 알고리즘, 프로그래밍 언어, 실시간 통신 구조, 결함 허용성, 자원관리를 위한 운영체제의 기능이 있다.실시간
    리포트 | 7페이지 | 5,000원 | 등록일 2023.01.03
  • 실습 2. Switching Mode Power Supply (SMPS) 예비보고서
    설계실습 계획서2-3-1 PWM칩(UC3845)을 이용하여 아래 성능의 PWM 제어 회로를 설계하시오.- 출력전압 : 0 V ~ 10 V (peak to peak )- 스위칭 주파수 ... 주기는 80us 이고 펄스폭 40us 으로 구형파를 구현한다.2-3-3 PWM 제어 회로와 Boost Converter 회로를 이용하여 아래 성능의 SMPS를 설계하시오.- 스위칭 ... f : 12.5 kHz저항과 커패시터 값을 조정하여 0V에서 10V 의 출력 전압을 얻을 수 있도록 한다.2-3-2 PWM 제어 회로와 Buck Converter 회로를 이용하여
    리포트 | 4페이지 | 2,000원 | 등록일 2022.09.19
  • 마이크로프로세서 마이크로컨트롤러 마이크로컴퓨터
    위해 특별히 설계된 올인원 컴퓨팅 장치입니다. ... 이 버스는 주소 라인, 데이터 라인, 제어 라인으로 구성됩니다. ... 범용 컴퓨팅 작업을 수행하도록 설계되었으며 개인 사용자에게 적합합니다. 마이크로컴퓨터는 소형 개인용 컴퓨터부터 노트북, 태블릿, 심지어 더 작은 휴대용 장치까지 다양합니다.
    리포트 | 6페이지 | 2,000원 | 등록일 2024.02.22
  • 동흡진기를 이용한 진동 제어 실험
    동역학 제어 실험 5주차 레포트7. 동흡진기를 이용한 진동 제어 실험1. ... .⑥ 설계된 동흡진기를 적용하여 주진동계의 진동을 감쇠 시킨다.⑦ 동흡진기의 보의 길이의 설계값과 시행 착오 방법으로 수행했을 때의 값을 비교하여, 오차를 계산한다.4. ... 이런 진동의 흡진 현상을 이해하고, 동흡진기를 설계하는데 이번 실험의 목적이 있다.2. 이론3.
    리포트 | 11페이지 | 3,500원 | 등록일 2023.04.25
  • 제어시스템 분석과 MATLAB 및 SIMULINK 의 활용 1장 솔루션
    점검문제 1.2 A= [ 1 2 3 ; 2 3 2; 3 2 1] A = 1 2 3 2 3 2 3 2 1 save data A load data A clear load data A clear load data A who 사용자의 변수 : A a= A(3,:) a = 3 ..
    리포트 | 8페이지 | 2,000원 | 등록일 2023.11.21
  • 유사실험설계의 목적과 유형을 설명하고 그에 따른 장단점을 기술하시오
    실험 연구 설계의 기본 요소 중 하나 이상의 요소가 부족한 실험 설계입니다 : 독립 변수 조작, 외생 변수 제어 및 피험자의 무작위화.유사 실험설계는 주로 외생변수를 제어하고 실험변수와 ... 또한 측정 및 외생변수를 제어하기가 어렵기 때문에 연구 결과의 정확도가 낮다.결론실험의 설계는 실험 설계일 뿐이며, 준실험 설계도 비슷한 실험 설계라고도 한다. ... 실험 설계는 실험 대상의 무작위화 요소가 하나 이상 없는 독립적인 변수 연산입니다.실험설계는 실험설계의 기본요소인 독립변수작동으로, 실험설계의 기본요소인 외생변수의 제어와 독립변수작동으로
    리포트 | 5페이지 | 6,000원 | 등록일 2022.01.04
  • [평가계획서] 정보교과 2학기 평가계획서입니다. 정보교과 평가계획서 작성은 매우 까다롭습니다. 따라서 본 작품을 참고하시면 작성하는데 큰 도움이 될 것입니다.
    추상화와 알고리즘을 통해 설계한 문제해결 과정을 자동화하는 텍스트 기반 프로그래밍 언어의 개발 환경 및 특성을 이해하고 변수와 연산, 입력과 출력, 실행 흐름 제어를 위한 제어 구조 ... 추상화와 알고리즘을 통해 설계한 문제해결 과정을 자동화하는 텍스트 기반 프로그래밍 언어의 개발 환경 및 특성을 이해하고 변수와 연산, 입력과 출력, 실행 흐름 제어를 위한 제어 구조 ... 협력적으로 참여하여 과제를 수행할 수 있다.B제시된 문제를 문제 분해와 모델링 등의 추상화 기법을 통해 해결할 수 있으며 다양한 제어 구조를 활용하여 알고리즘을 설계하고 수행 시간의
    리포트 | 13페이지 | 3,000원 | 등록일 2022.08.09
  • [예비보고서] 6.위상제어루프 (PLL)
    예비 보고서설계실습 6. 위상 제어 루프(PLL)6-3. ... 설계실습 계획서6-3-1 위상제어루프의 용도이론부의 위상 제어 루프를 이해하여 요약, 설명하고 실제 사용되는 분야에 대해 설명한다. ... 그래프는 다음과 같다.6-3-4 위상제어루프 설계그림 6-2의 회로를 Simulation tool (Pspice)로 설계한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2023.01.03
  • 실험설계의 유형을 제시하고, 각각의 특성을 예를 들어 서술해 봅시다.
    사전 검사으로 인한 주 검사 효과에 영향을 제어하기 위해 통제집단 사전사후설계에 사전 검사를 수행하지 않는 다른 실험 집단과 통제집단을 추가하는 설계방법이다. ... 실험설계의 중요성은 엄격하게 통제되는 상황에서 변수들의 인과관계를 규명하는 것이며, 변수 조작 및 제어하여 조작의 효과를 관찰하는 방법을 말한다. ... 참고문헌서론실험설계는 프로그램 평가에 널리 사용되는 접근법이며, 외부 변수를 제어하고 조사 대상과 변수 사이의 인과관계를 인위적으로 정의하여 종속 변수를 관찰함으로써 결과로 인과관계를
    리포트 | 4페이지 | 2,500원 | 등록일 2022.05.25
  • [소프트웨어공학] 위험관리 활동 중 위험 제어의 목적에 대해 설명하고 Boehm의 위험 항목에 대해 기술하시오. (10가지)
    위험 제어는 이러한 자산에 위협이 되는 요소를 파악하고 대응책을 마련하는 일련의 과정이다.프로젝트 설계는 소프트웨어에 영향을 받거나 소프트웨어로 적절하게 처리되지 않은 확인된 위험원들을 ... 위험 제어의 목적3. Boehm의 위험관리4. Boehm의 10가지 위험항목Ⅲ. ... 제거하기 위한 목적으로 그 위험원을 허용 가능한 수준으로 줄이거나 확인된 위험원들이 심층방어 설계로 제거될 수 있도록 구조를 변경한다.
    리포트 | 6페이지 | 4,000원 | 등록일 2023.09.16
  • 기계설비 성능점검 결과보고서 내 성능점검표 펌프 파트 입니다. 보고서 작성시 참고바랍니다.
    [ ○ ] 대수 제어 [ ] 회전수 제어항 목단 위설계값비 고양 정M32유 량㎥/h209동 력kW30회전수rpm1750/min전 압V/HZ380/60정격전류(3상)A60보호 장치전자식 ... [ ○ ] 대수 제어 [ ] 회전수 제어항 목단 위설계값비 고양 정M32유 량㎥/h209동 력kW30회전수rpm1750/min전 압V/HZ380/60정격전류(3상)A60보호 장치전자식 ... 설계값은 설계도면에 명시된 값을 우선으로 하되, 부득이한 경우 장비의 명판값으로 할 수 있다.2.
    리포트 | 8페이지 | 3,000원 | 등록일 2023.03.31
  • 열역학 과제 ) 열역학 제 1법칙과 제 2법칙은 무엇이고, 이 같은 법칙을 적용한 예를 들어보시오. 열역학을 배워 향후 본인의 전공이나 업무에서 어떻게 적용할 것 인지에 대하여 서술하시오.
    특히 열유체 시스템 설계제어에 활용된 열역학 원리는 매우 유용하다. ... 열역학은 기계제어공학 분야에 다양한 시스템을 설계하고 분석한 후 제어 및 최적화까지 핵심적 역할을 한다. 그러므로 열역학에 대한 깊은 이해는 관련 전공에 필수적이라 할 수 있다. ... 기계제어공학과 반도체 배관 공사 관리에 적용된 열역학은열역학 제1 법칙과 제2 법칙은 열기관의 설계와 성능을 분석하는 데 핵심적 역할을 한다.
    리포트 | 5페이지 | 5,000원 | 등록일 2024.07.29
  • 제어시스템 분석과 MATLAB 및 SIMULINK 의 활용 2장 솔루션
    모델 속성 printsys ( ncg , dcg ) num/den = s^3 + 5 s^2 + 8 s + 4 --------------------- s^3 + 3 s^2 + 4 s 제어기의
    리포트 | 11페이지 | 3,000원 | 등록일 2023.11.20
  • 전지박(Copper Foil) 공장 건축 개관 (개요)
    생산 설비用 전기 분전반 (PP Panel) 및 제어판넬 (RIO/PLC Panel) 의 수량이 많은 관계로 , 건축 레이아웃 설계 시 , 적정 위치 배치 방법 ( 예 . ... 주요 검토 항목 3.3 설비 전기 / 제어 현지 전력 불안정으로 인한 순간 정전 보상 장치 도입3. ... 제어 시스템의 경우 , Reliability 를 위해 , FOC 이중화 Back-Bone Network 가 적용됨 .3.
    리포트 | 26페이지 | 1,500원 | 등록일 2023.06.05 | 수정일 2023.06.18
  • SoC 보고서 - 4.8051
    제어 시스템 및 미리 개발된 기능은 이미 있는 것을 쓸 수 이지만, 이special function logic은 직접 개발해야 하는 경우가하는 개념이므로 여기서는 Core 설계를 직접 ... 프로세서는 연산 및 제어를 하는 부분으로 연산 및 제어를 하는 ALU, CPU/MCU의 동작을 제어하는 제어장치, CPU/MCU의 작업공간 및 임시 저장장치인 레지스터 등으로 이루어져 ... 연산장치인 ALU가 있고 제어를 위한 CONTROL_UNIT가 있다. 그 외 메모리를 제어하는 모듈이 존재한다.
    리포트 | 30페이지 | 2,500원 | 등록일 2021.09.23
  • 구조시스템_제진구조
    수 있게 하는 시스템 2) 특징 ① 내진성능 향상 및 구조물의 사용성 확보 ② 중규모 이상의 진발생 시 손상레벨을 제어할 수 있는 설계 ③ 건축물의 비구조재나 내부 설치물의 안전한 ... 동조 질량 감쇠기 (TMD) 와 물과 같은 액체를 이용한 동조 유체 감쇠기 (TLD) 로 구분 지진보다는 바람에 의한 구조물의 진동을 감소 능동형 감쇠장치 계측된 응답을 이용하여 설계자가 ... 강성이나 감쇠 등을 순간적으로 변화시켜 구조물을 제어하는 것으로서 , 구조물에 입력되는 지반진동과 구조물의 응답을 계산하여 이와 반대되는 방향의 제어력을 인위적으로 구조물에 가하거나
    리포트 | 10페이지 | 1,000원 | 등록일 2022.12.08
  • [중앙대 아날로그및디지털회로설계실습]설계실습2(Switching Mode Power Supply(SMPS)) 결과보고서
    설계실습 결과(1) PWM 제어회로① PWM 제어회로를 구성한다.설계실습 계획 시 설계한대로 PWM 제어회로를 구성했다. ② 톱니파형과 출력파형을 확인한다.오실로스코프를 통해 PWM의 ... 회로를 구성한다.설계실습 계획 시 설계한대로 Boost Converter 회로를 구성했다, ② PWM 제어회로를 이용하여 스위칭 신호를 넣는다.PWM 제어회로의 펄스파 출력을 Boost ... 설계한 PWM 제어회로의 4번 핀에서 톱니파형이 나옴을 확인했고, 6번 핀에서 펄스파 출력이 나옴을 확인했다.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.08.11
  • 마이크로프로세서, 마이크로 컨트롤러, 마이크로컴퓨터에 대하여 논하시오.
    프로그램을 간단히 변경함으로써 많은 용도에 사용될 수 있다마이크로 컨트롤러마이크로 컨트롤러는 단일 통합 회로이고, 일반적으로 특정 애플리케이션에 사용되며 특정 작업을 구현하도록 설계되었다 ... 컨트롤러 일반적으로 1MHz ~ 200MHz 범위의 저속에서 작동하며 다른 영역에서 더 많은 전력을 소비 할 수있는 다른 장치에 내장되어 있기 때문에 더 적은 전력을 소비하도록 설계되어야 ... 컨트롤 유닛은 시스템 전체에서 명령 및 데이터 흐름을 제어한다.
    리포트 | 3페이지 | 3,000원 | 등록일 2023.05.25
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 20일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:19 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대