• 통큰쿠폰이벤트-통합
  • 통합검색(22,646)
  • 리포트(17,935)
  • 자기소개서(2,996)
  • 시험자료(1,032)
  • 방송통신대(429)
  • 논문(164)
  • 서식(60)
  • ppt테마(18)
  • 이력서(9)
  • 노하우(3)

"제어설계" 검색결과 421-440 / 22,646건

  • [제어] 디스크 구동기 판독 시스템의 순차적인 설계
    서 론제어시스템의 설계는 공학적 설계의 특정 예이다. ... 이렇게 요구되는 제어 정확도에 따라 제어변수를 측정하는 센서를 지정할 수 있다.설계자는 원하는 제어성능을 나타내는 시스템을 일차적으로 구성한다. ... 제어공학 설계의 목적도 실제요소에 부합하는 제안된 시스템의 구성, 규격, 주요 매개변수를 설정하는 것이다.설계과정의 첫 단계는 시스템 목표를 설정하는 것이다.
    리포트 | 45페이지 | 3,500원 | 등록일 2004.01.03
  • 자동제어 개론 설계과제 - Matlab을 이용한 feedback system의 해석 / Matlab을 이용한 PID analog controller analysis
    설계목적- 주어진 특정 제어 시스템에서 제어기의 상세 사항을 설계하고 설계제어기의 MATLAB 구현 및 시험 입력을 사용한 안정성 검증 및 성능평가를 통하여 스스로 분석하고 설계하며 ... Margin과 Phase Margin이 음수인데, 이는 system이 unstable 하다는 뜻이다.d) K=100일 때, 안정성 및 성능 개선을 위해 다음과 같은 계수를 같는 PID 제어기를 ... < 자 동 제 어 개 론 >설계과제 보고서설계과제11. 제목 : Matlab을 이용한 feedback system의 해석2.
    리포트 | 12페이지 | 2,000원 | 등록일 2008.06.23 | 수정일 2019.04.12
  • 자동제어 설계프로젝트 (PI,PD,PID)컨트롤 시스템 (메트랩 이용, 모든 사진과 그래프 첨부 만점 레포트)
    비례 - 미분 제어기라고도 불리는 PD (Proportional -Derivative)제어기는 비례기와 미분기가 병렬 결합으로 구성된 제어기입니다.추가되는 컨트롤러인 Kd 값은 변경 ... 이러한 경우에는 플랜트 거동을 정확히 모델링 하지 않고 제어기의 입력과 측정된 신호와 같이 매우 제헌적인 정보를 이용하여 효과적으로 제어할 수 있다. ... 전 단계의 과정을 통하여, D 컨트롤 (미분 제어기)이 제어 시스템의 응답속도를 빠르게 해준다는 것을, 확대된 Scope의 Rising time 분석을 통해 알 수 있었습니다.
    리포트 | 16페이지 | 10,000원 | 등록일 2009.04.02
  • 제어 설계 시스템에 대하여 보상 시스템이 20%의 오버슈트를 가지면서 최고값 시간이 비보상 시스템의 0.667배가 되도록 설계하고 계단입력에 대하여 정상 상태 오차가 0이도록 설계하라
    결국 PD 제어기는 다음과 같다.그림3.비보상,PD보상된 경우의 계단 응답3단계: PD제어기를 설계한 후 계단 입력에 대하여 정상 상태 오차가 0인 이상 적분 보상기를 설계한다. ... PID-보상제어기는 PD-제어기로 설계된 과도 응답을 크게 손상시키지 않고 정상 상태 오차를 더 개선 할 수 있었다. ... 비보상에서 정상 상태 오차는 0.156이였고 PD-보상에서 정상 상태 오차는 0.070 이였으나 PID제어기는 설계 목표를 했던것 처럼 0이 나왔다.
    리포트 | 6페이지 | 1,000원 | 등록일 2008.03.21
  • MAV의 자세제어를 위한 자세측정모듈 설계 및 제작
    MAV의 자세제어를 위한 자세측정모듈 설계 및 제작1. 서론 : MAV의 자세제어를 위해 자이로와 가속도계를 이용하여 X축과 Y축의 자세를측정한다.2. ... Low - pass filteramp를 거친 신호중에 불필요한 고주파 신호를 제거하고, 자세제어에 필요한 신호만을 받을 수 있도록 한다. 90㏀의 저항과 1800㎊의 콘덴서를 사용한다
    리포트 | 4페이지 | 1,000원 | 등록일 2003.06.26
  • 논리회로 신호등 제어기(교차로-2센서) 설계
    신호등 제어기(교차로-2센서) 설계현재상태입력다음상태출력ABCDWTETA+B+C+D+EWREWYEWGNSRNSYNSG0000XX00011000010001XX00101000010010XX001
    리포트 | 27페이지 | 2,000원 | 등록일 2004.06.09
  • [공정제어] 3. 다단 액위 System의 설계,Instrumentation 및 Identification 실험
    교반제어기의 피드 포워드 제어기의 설계{▣Time Delay를 조사하여라.모든 공정에는 제어출력을 공정에 넣어줄 경우 공정출력에 바로 영향을 받지 않고 어느정도 시간이 지난 후 공정출력에 ... {그림 1 와트의 증기기관※제어의 호칭명과 그분류{항목제어의 종류기본방식피드백제어, 피드포워드제어, 폐회로제어, 개회로제어제 어 량프로세서제어, 기계제어, 서보제어목표치의 성질정치제어 ... , 컴퓨터제어제어계의 구성최적제어, 적응제어, 로버스트제어, 퍼지제어계의 수학적 특성선형제어, 비선형제어자동 ·비자동자동제어, 수동제어동 력 원전기제어, 유압제어, 공기압제어, 전기
    리포트 | 12페이지 | 2,000원 | 등록일 2004.04.08
  • [정보통신]DTMF신호를 이용한 실시간 도어록 제어 방법 설계 및 구현
    DTMF신호를 이용한 실시간 도어록 제어 방법설계 및 구현목 차I. ... MOBELL의 데모 시스템 구조1차적으로 설계된 MOBELL의 데모 시스템에서 이동통신망과의 접속은 공중전화망을 통해 간접적으로 연결되도록 설계하였다. ... PC 기반으로 설계한 데모 시스템의 구조를 나타낸 것이다.
    리포트 | 36페이지 | 1,500원 | 등록일 2005.01.27
  • 제어공학 정리
    특성방정식 근이 좌반면에 위치하면 안정하고 우반면에 위치하면 불안정하다또한, 근이 허수축에 위치하면 안정과 불안정 사이에 있는 경계안정이라고 한다.그래서 근궤적으로는 안정도판별, 제어설계를 ... 먼저 제어설계를 위해서 근을 찾기위해 근궤적을 그려야한다.근궤적을 그린 뒤 원점에서 (-)실수축과 각을 갖는 대각선을 그려 근궤적과 만나는 점을 찾는다.각도조건으로 근을 찾으면 ... (PI)를 설치한다.그래서 비레적분제어기(PI)를 설치하면 감쇠비를 증가시키고 정상상태응답을 개선시킬 수 있다.4.
    리포트 | 3페이지 | 5,000원 | 등록일 2020.11.26
  • 제어시스템 분석과 MATLAB 및 SIMULINK 의 활용 5장 솔루션
    먼저 시스템의 안정성을 조사하고 , 만약 불안정하다면 왜 불안정 한지를 예를 들어 설명하고 , 시스템이 안정화 하기 위해 제어기를 선정한 뒤 설계해 보시오 . s = tf (' s ... 제어해보자 . ... kp =2 로 제어해보자 .
    리포트 | 10페이지 | 2,000원 | 등록일 2023.11.21
  • 제어설계 Term Project
    Output 값이 scope를 통해 시각화 될 수 있도록 설계한 후, 실험 개요에서 구한 값들을 PID Controller와 Turbine &Generator(또는 Vehicle Velocity ... 실험 이론(1) 풍력 터빈속도 제어기풍력 발전기는 바람으로 터빈을 움직임으로써 발전기를 통해 운동 에너지를 전기 에너지로 변환시키는 장치이다. ... 또한 단순화하기 전 제어계의 수학적 모델일 때의 Step Response와 비교하여 단순화한 모델이 실제 시스템과 얼마만큼 근사하는지에 대해 알아본다.3.
    리포트 | 15페이지 | 1,000원 | 등록일 2021.05.17
  • 아주대학교 자동제어실험 8번 실험 / 위치제어시스템 / 예비보고서
    표로 정리하면 다음과 같다.비례 제어 시스템 설계1. ... (PD-Controller) 시스템 설계비례 미분 제어 시스템의 블록도비례 ? ... 오차까지 미분값에 비례하기 때문에 미분제어의 효과를 고려하여 PD제어기를 적절히 설계하면 시스템의 과도응답 특성을 개선시킬 수 있다.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.03.06
  • 아주대학교 자동제어실험 9번 실험 / 펜듈럼시스템 / 예비보고서
    PID 제어기를 완성한 이후 설계한 Pole과 Arm의 제어기를 이용하여 전체 시스템의 PID제어기를 구성한다.7. ... 설계Rotary Pendulum의 모델링은 위에서 구한 Space ? ... 실험 결과예상1) 예비 보고서에서 계산한 PID 제어기의 이득과 실제 이득의 차이에 대해 논의한다.앞서 PID 제어기의 단위 계단 응답을 이론 부분에서 다룬 바 있다.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.03.06
  • 제어시스템 분석과 MATLAB 및 SIMULINK의 활용 7장 솔루션
    점검문제 7.2>> s=tf('s');>> G=(10*(s+1)*(s+20))/(s*(s+30)*(s+50));>> w=logspace(-1,2);>> bode(G,w);>> grid on;점검문제 7.5 >> clear>> w=logspace(-1,1,100);wn=..
    리포트 | 7페이지 | 4,000원 | 등록일 2021.12.08
  • Matlab Simulink PMSM 속도제어 보고서[단국대,전기기기 A+보고서]
    설계 결과6. PI제어기 과정7. 고찰1. ... 이런 PMSM을 사용하여 본 전기기기 설계에서는 Matlab의 Simulink를 사용해 PMSM속도제어 설계를 진행한다.2. ... REPORTPMSM 속도제어 전기기기 보고서목 차< 서 론 >1. 설계 내용2. 설계 목표< 본 론 >3. PMSM Block diagram 세부사항4.
    리포트 | 21페이지 | 4,900원 | 등록일 2020.05.13
  • 발열 제어 세미나 (열, 온도 측정, Heat sink 정의)
    발열 제어 세미나 PresentationContents Heat 열 Heat Sink 및 전도 부품 온도 측정Heat 01 열 에너지를 뜻한다 . ... Heat Sink 가 작게 설계된 경우 → 오 동작 → 기능 상실 Heat Sink 가 크게 설계된 경우 → 부품에는 무리가 없다 . → 원가 상승 → 무게 증가 → 낙하 충격 시 ... THeat Sink 02 Heat Sink 재료 동 알루미늄 철 열전도 도 417W/ mK 237W/ mK 80W/ mK 인장강도 22 10 30Heat Sink 02 Heat Sink 설계
    리포트 | 30페이지 | 5,000원 | 등록일 2022.03.17
  • 화천기공 제어개발 서류합격 자소서 + 면접질문
    이를 극복하고자 대학교 전공 프로젝트 수업(제어 설계, 컴퓨터 제어 설계 등)에서 모두 팀장직을 맡아, 모든 프로젝트를 무사히 완수하였습니다. ... 위한 역량은 다양한 설계 경험과 충분한 전공지식, 협업 능력이 요구된다 생각합니다.학교 제어 설계 프로젝트에서 팀장직을 수행하면서, 팀워크에서 가장 중요한 부분은 팀원 간의 밝은 분위기와 ... 지원한 직무를 수행함에 있어서 요구되는 역량이 무엇이라 생각하며, 해당 역량을 갖추기 위한 노력 또는 경험에 대해 기술하세요 (600 자 이내)공작기계를 제어, 개발, 개선을 하기
    자기소개서 | 3페이지 | 3,000원 | 등록일 2022.04.01
  • 제어시스템 분석과 MATLAB 및 SIMULINK의 활용 2장
    2.3>> clear all;>> s=tf('s');>> T=(s+4)/(s^2+4*s+3);>> t=[0:0.01:10];>> y=step(T,t);>> plot(t,y);>> xlabel('time[sec]');>> ylabel('y(t)');2.13>> nc=co..
    리포트 | 9페이지 | 2,500원 | 등록일 2021.12.08 | 수정일 2022.11.21
  • pspm 설계
    REPORT설계 주제: Simulink를 활용하여 PMSM 설계설계 조건1. 본인 학번 뒷자리 번호에 해당하는 모터를 사용한다.2. ... 전체 회로도-설계 조건에 부합할 수 있게 값을 넣고 설계하였다. ... 따라서 빠르게 속도를 올리고 감속 할 수 있다.PMSM 설계 구조1) Inverse DQ-이번 회로에서 설계한 Inverse dq 회로-계자 권선 축으로 정렬된 직축요소 그리고 90도
    리포트 | 9페이지 | 2,000원 | 등록일 2023.04.30
  • 실험7 속도 제어 시스템 결과보고서 (아주대 자동제어실험)
    실험목적① P 제어 및 PI 제어기의 설계제어기의 구현 및 성능 평가2. ... 사용한다.② 비례-적분 제어(PI Controller) 시스템 설계제어기의 전달함수에G(s)=K _{p} + {K _{i}} over {s}와 같은 비례-적분제어기를 추가할 수 있다 ... 계단응답※ 실험분석비례 제어 시스템을 설계하기 위해 전달함수G(s)는 ① 시스템의 전달함수 구하기에서 구한{2.139} over {1.093s+1} = {1.793} over {s
    리포트 | 6페이지 | 3,000원 | 등록일 2021.07.16
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:46 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대