• 통큰쿠폰이벤트-통합
  • 통합검색(434)
  • 리포트(406)
  • 자기소개서(19)
  • 시험자료(5)
  • 논문(3)
  • 방송통신대(1)

"디지털 LCD 시계" 검색결과 61-80 / 434건

  • VHDL - Digital Watch 소스. 정상 동작 확인. A+
    디지털 설계 , 콤보2 장비를 사용했습니다. vhdl 프로그램 소스입니다.VHDL - Digital Watch 소스 입니다. ... watch , stop watch, alarm, setting 이라는 dot matrix 가 아래에서 위로 흐르게 됩니다.vfd 기능으로 lcd 에 간단한 글자를 표현했습니다.과제 ... 메인시계, 스탑워치, 알람설정, 시간설정, Dot Matrix, vfd 등 포함되어 있습니다.모드 변환이 가능하며 스탑워치 start / stop, reset 기능 있습니다.
    리포트 | 55페이지 | 3,000원 | 등록일 2014.12.30 | 수정일 2016.05.24
  • Xilinx-ISE 응용 레포트 (7-segment)
    몇몇 숫자(0, 6, 7, 9)는 둘 이상의 다른 방법으로 표시가 가능하다.7세그먼트 표시 장치의 각 획은 맨 위쪽 가로 획부터 시계 방향으로, 그리고 마지막 가운데 가로 획까지 각각 ... 디지털논리회로Xilinx-ISE 레포트주제 : Seven-segment분반: 2분반 화수목8Report주제로 7-segment를 선택한 이유는 저번에 multisim을 이용하여 7- ... 그리고 디지털 논리회로 실험 과목도 7-segment를 응용하는 실험이 있었기 때문에 더더욱 주제로 하고자 하였다.이론Seven-segment display는 표시 장치의 일종으로,
    리포트 | 9페이지 | 1,000원 | 등록일 2017.06.28 | 수정일 2018.04.21
  • 실험2 제13주 Lab11 Post Digital Clock
    Discussion이번 실험은 시계를 Text LCD에 표현하는 것이었다. ... Introduction1) Purpose of this Lab임의의 숫자나 알파벳, 기호 등을 TEXT LCD에 구현하고 더 나아가 Digital Clock을 설계할 수 있다. ... 그리고 Digital Clock에 표시되는 시간을 임의로 조정할 수 있도록 Code를 설계하여 TEXT LCD에 구현할 수 있다.2) Essential background for this
    리포트 | 8페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.14
  • 전전컴실험Ⅱ 06반 제15주 Project [최종보고서] 전자시계, digital watch
    느낀점‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥ 7< 초록 (Abstract) >마지막 프로젝트는 lcd에서 기본 디지털 시계 및 추가 기능을 구현 하는 것이 목표였다. rs, rw ... FSM는 디지털 논리에서 회로를 꾸미고자 할 때 중요하게 사용되는 방법중의 하나입니다. ... 주로 마이크로 프로세서 뿐만 아니라 디지털로 꾸밀 수 있는 모든 회로들의 주요 CONTROLER회로를 꾸미는 방법으로 사용되기도 한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2013.09.09 | 수정일 2013.09.15
  • E-paper 기술동향
    LCD Mirasol E-Ink Liquavista2. ... 이용 CDT, CPT 로 발전하며 경박단소화 (Slim CRT) VFT, FED, LED 등 Non-Emissive STN-LCD TFT-LCD EPD EWD IMOD Etc Reflective ... 수첩으로도 활용가능 동기화 Sync 기능 탑재 Document Rep8 ‘11 사이즈 : 9.68” 해상도 : 2400 X 1650 (300PPI) ‘05 최초 로 이잉크 기술을 손목시계
    리포트 | 26페이지 | 5,000원 | 등록일 2016.04.25
  • 실험2 제14주 Lab12 Pre Digital Clock
    Summarize이번 실험은 TEXT LCDDigital Clock을 표시하여 00:00:00을 나타내고 원하는 임의의 값으로 시, 분, 초를 조정할 수 있는 시계를 설계하는 것이었다 ... Introduction1) Purpose of this Lab임의의 숫자나 알파벳, 기호 등을 TEXT LCD에 구현하고 더 나아가 Digital Clock을 설계할 수 있다. ... 그리고 Digital Clock에 표시되는 시간을 임의로 조정할 수 있도록 Code를 설계하여 TEXT LCD에 구현할 수 있다.2) Essential background for this
    리포트 | 19페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • 차세대 디스플레이 PPT 자료
    휘거나 구부리거나 말 수 있는 디스플레이로 , 언제 어디서든 원하는 정보를 검색하고 얻을 수 있는 소비자 지향적인 미래 디스플레이 기술이다 .Flexible Display 방식 LCD ... 기존에 있던 큰 화면을 작게 만들어 간편하게 휴대하면서 옷이나 시계 , 안경처럼 자유롭게 몸에 착용하고 다닐 수 있는 기기 Sony smart watch Google glassHolographic ... , 설계 , 엔터테인먼트에서 응용 되고 있다 .Holographic Display 의 발전 전망 현재 실제의 홀로그램을 이용한 완전 입체 영상 기술은 초보단계이고, 완전한 입체의 디지털
    리포트 | 18페이지 | 1,000원 | 등록일 2016.09.19 | 수정일 2018.05.28
  • [논리회로실험] 실험11. 디지털 클락
    VHDL을 이용하여 간단한 디지털 시계를 설계한다. ... 설계할 회로를 통해 디지털 시계를 설계하고 설계한 결과를 7-segment에 출력하게 된다. ... 과 목 : 논리회로설계실험과 제 명 : 디지털 시계 설계담당교수 :학 과 : 전자전기공학학 년 : 3학 번 :이 름 :제 출 일 : 2013.06.11.Introduction이번 실험에서는
    리포트 | 19페이지 | 2,000원 | 등록일 2014.03.22
  • 170227-발명과 문제해결-학생용
    ③ 접는 자전거, 3단 우단, 접는 물통, 휴대용 컴퓨터, LCD 텔레비전 등⇒ (크기 바꾸기) :(크기)를 크게 또는 작게, 길게 또는 짧게, 무겁게 또는 가볍게 하여 물건을 만드는 ... 같은 물건 더하기다른 물건 더하기② 씨 없는 수박, 무선 전화기, 무선 다리미, 무가당 과일 주스, 디지털 카메라 등⇒ (빼기) :물건의 일부분을 (빼거나 제거)함으로써 기능을 개선하는 ... 시계)(Q) (크키 바꾸기)를 이용한 나의 발명은?
    리포트 | 10페이지 | 1,500원 | 등록일 2017.08.29
  • 방송대 3학년 e비즈니스 중간과제
    오감정보처리 및 휴먼-컴퓨터 상호작용(HCI) 기술 등을 대상으로 한다.차세대 PC는 크게 휴대형(개인 및 업무용으로 특화된 PDA, e-book 등)과 착용형(이동성과 편의성의 강조된 시계 ... 프린터, 냉장고, 자동차 등의 기기뿐만 아니라 거울, 벽면 등 사람이 마주치는 다양한 곳에 설치되게 된다.디스플레이 장치는 PDP, LCD, OLED 등의 관련 기술을 통하여 다양한 ... , 그 각각에 대해 간략히 설명하시오. (20점)(1) 차세대PC 인간 중심의 컴퓨터 환경정보이용 환경과 사용목적에 따라 특화된 기능과 형태를 가지는 무선 네트워크 기반의 차세대 디지털
    방송통신대 | 2페이지 | 3,000원 | 등록일 2015.07.08
  • 글로벌 혁신마케팅 성공사례 [재미있는.기발한.차별화된]
    스위스의 스와치 시계 , 화려한 색상과 튀는 디자인 등 이미지적 요소를 강화  전략시계 가치증가 시계는 시간 표시 상품 인식이 일반적 시계의 정확성을 향상시키고 , 디지털 시계와 ... 성공사례 사례 : Hero 사 시리얼 바의 확장으로 유럽 씨리얼바의 대표회사로 성장 사례 : 다농 ' 액티멜 ’의 브랜드 확장 사례 : 하기스의 입는 기저귀 목차사례 : 스품인 LCD ... 시계에 대한 새로운 인식 전환  역발상의 개가 세계 최고의 스위스 시계 ‘정밀 제품’ ‘전자 제품’ 1970 년대 일본 시계산업의 인식변화 * 사례 : 증가와 창조로 시계 가치를
    리포트 | 36페이지 | 3,000원 | 등록일 2016.12.20 | 수정일 2017.03.07
  • 디지털사진매체의 활용현황과 활용방안
    있는 LCD스크린의 존재이다. ... 이러한 사진은 실은 대표적인 잡지로 미국의 , 프랑스의 등이 있다.3) 광고사진2) 시계광고사진, 네이버 블로그디지털 사진을 가장 먼저 활용한 것은 광고사진이다. ... 촬영 즉시 그 상태를 보여주는 LCD스크린은 촬영된 이미지의 앵글과 노출 등의 정보를 바로 전달해 주기 때문에 아주 효과적이며 디지털을 이용한 매체의 혁명은 동일한 사람들에게 똑같은
    리포트 | 7페이지 | 1,500원 | 등록일 2016.04.11
  • atmege128을 이용한 습도경보기
    기능 구현- Timer0를 이용하여 평상시에 시계기능을 동시에 할 수 있도록 구현.- 실습한 LED제어를 이용하여 습도계와 비슷하게 습도에 따른 변화를 구현.- LCD 제어를 통한 ... . - 기본 AVR보드에 808H5V5의 소자를 이용하여 회로를 구성 한 후 추가하여 현 재 습도를 측정하는 디지털 습도계를 구현- 습도 경보 울릴시 모터를 작동하여 습기 제거하는 ... - 이 함수는 ADC컨버터를 초기화하고 디지털로 변환된 아날로그 값을 받아오는 함수를 선언한 부분이다. atmega128의 ADC모듈은 10비트 연속 비교방법을 이용.
    리포트 | 49페이지 | 4,000원 | 등록일 2014.06.03
  • VHDL이용, Digital Clock(디지털 시계)및 부가기능(타이머, 알람, 세계시간등)제작
    Essential Backgrounds (Required theory) for this Lab(1)Text LCD- Text LCD를 이용하여 Digital Clock을 구현하시오.시 ... 실험을 통해 구하고자 하는 데이터와 이를 획득하기 위한 실험 순서[실험1]Text LCD를 이용하여 Digital Clock을 구현하시오.- 목차- 이번 설계 실험은 기본기능/부가기능으로 ... 앞으로 Clock은 1Mhz, 1Khz, 100Hz 세 개만을 이용하여모든 기능을 만들도록 한다.- 위의 그림은 시계를 표현해주기 위해, LCD_DATA값에 따로 8'b의 어떤 수를
    리포트 | 22페이지 | 5,000원 | 등록일 2014.02.14 | 수정일 2021.08.25
  • 쉐라톤디큐브시티
    개인 금고다리미 및 다림판쉐라톤 목욕 가운 및 슬리퍼알람 시계와 보이스 메일[ 디럭스 코너 룸 ]자연채광이 눈부신 넓은 창혜택사항쉐라톤 피트니스 클럽과 수영장 무료 이용(06:00 ... 개인 금고다리미 및 다림판쉐라톤 목욕 가운 및 슬리퍼알람 시계와 보이스 메일[ 클럽 룸 ]비즈니스 고객을 위한 품격 있는 서비스혜택사항초고속 유/무선 인터넷 연결 서비스 제공쉐라톤 ... TV사무용 책상과 사무용 의자미네랄 워터 하루 2병 제공‘Shine’ 욕실 용품, 화장품/면도 용품거울, 헤어 드라이어, 체중계, 욕실 내 전화기커피 플런저와 커피 & 티미니 바디지털
    리포트 | 37페이지 | 4,000원 | 등록일 2016.11.02
  • 가상대학 kcu 과제) 주변에서 볼 수 있는 발명품과 발달 과정(김밥, 카메라, 안경)
    (digital cameras)와 같은 다양한 기술로 변화를 계속해왔다. ... 실리콘 필름이라는 디지털 센서 카트리지가 소개되었다. ... 초기의 카메라는 한 두 사람이 들어갈 정도의 방 크기장 카메라, 포켓용 카메라, 심지어는 시계나 모자 등의 물건 모양을 한 카메라까지 다양한 카메라가 제작되었다.◆ 코닥과 필름의 탄생사진
    리포트 | 7페이지 | 1,500원 | 등록일 2017.12.28
  • 마이크로프로세서 ST Microelectronics STM32F407VG 조사
    LCD 병렬 인터페이스, 8080 / 6800 모드? 시계, 리셋, 전원 관리?- 1.8V~3.6V의 어플리케이션 전원 및 I / O?- POR, PDR, PVD 및 BOR? ... 사실상 독점하고 있다고 보면 된다.STM32F407VG제품은 cortex의 장점을 유지하면서 디지털신호 어플에 고효율의 솔루션을 제공한다.RISC란 복잡한 CISC와 반대의 개념으로 ... - 보정 내장 32 kHz RC시계, 리셋, 전원관리 기능들은 필수적인 요소들이다.? 저전력 동작?- 슬립, 정지, 대기 모드?
    리포트 | 6페이지 | 1,000원 | 등록일 2017.06.18
  • 디스플레이시스템실험 LCD vs OLED 실험보고서
    또한 소형 화면에서는 LCD 이상의 화질과 단순한 제조공정으로 인하여 유리한 가격 경쟁력을 갖는다.휴대전화나 카오디오, 디지털카메라와 같은 소형기기의 디스플레이에 주로 사용하고 있다 ... 손목시계, 전자계산기처럼 간단하고 작은 장치에는 만들기 쉬운 수동형을 사용한다. ... 개발에 성공하였다.Color Gamut전체 태양 빛의 영역인 외곽선에서 각각의 매체가 재현할 수 있는 색 공간 영역.RGB의 가법 혼색 색상 체계를 갖추고 있는 모니터, 스캐너 및 디지털
    리포트 | 7페이지 | 1,000원 | 등록일 2014.02.04
  • [A+] 아날로그와 디지털 (아날로그정보와 디지털정보의 차이점, 구분, +정보화 시대의 개인정보 유출과 개인정보 유출 방지를 위한 아이디어)
    점이 없다)- LCD, LED 디스플레이, 인터넷 광케이블 통신 등에 사용나의 생각: 연속적 물리량을 그대로 표현하던 것의 불편함과 한계를 치열하게 인식하던 과정에서 디지털이 탄생 ... , 시계, 라디오, 레코드, 음반, 통화 등에 사용- 0과 1이라는 숫자로 이루어짐- 하나의 정보를 표현하는 신호체계- 각을 이루는 형태- 데이터를 한 자리씩 끊어서 다룸(어중간한 ... 디지털화` 직접적 디지털화: 컴퓨터 프로그램을 이용하여 곧바로 디지털 저작물을 생산하는 것.직접적 디지털화는 디지털카메라로 사진을 찍거나 디지털 펜으로 그림을 그리는 방식에 의한
    리포트 | 3페이지 | 1,000원 | 등록일 2014.10.25
  • [공학]AVR(C언어) 디지털시계 프로그램 소스
    작품구성요소1) KUT-8515 키트2) LCD4. 작품 내용C언어로 작성한 프로그램을 8515에 저장하여 연결된 LCD디지털 시계를 표시.5. ... 작품 개요Atmel사의 8515칩을 사용하여 만든 KUT-8515 키트를 이용하여디지털 시계LCD에 표현하도록 C언어로 프로그램을 작성한다.외부 인터럽트를 이용하여 시계 및 알람을 ... 작품 결과외부 인터럽트 0, 1을 이용하여 시계를 설정하여 AM/PM, 시각, 분, 초,요일을 LCD에 표시하고 알람 설정시 알람세팅 시간과 현재 시계의 시간이일치하면 P1포트의 LED를
    리포트 | 11페이지 | 2,000원 | 등록일 2007.01.16
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:50 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대