• 통큰쿠폰이벤트-통합
  • 통합검색(425)
  • 리포트(401)
  • 시험자료(12)
  • 자기소개서(9)
  • 방송통신대(2)
  • 논문(1)

"비동기식 카운터 결과" 검색결과 61-80 / 425건

  • SoC 보고서 - 2.비동기통신(UART)
    보통 동기는 클록 신호로 맞춘다. 데이터 외에 동기 신호도 송수신 해야 하므로 선로가 하나 더 필요하다는 단점이 있지만, 비동기식에 비해 전송 효율이 높다는 장점이 있다. ... 비동기 통신은 두 대상의 동기를 맞추지 않고 통신하는 방법이다. ... 전송 방식으로 나눈다면 동기 통신과 비동기 통신 방법이 있고, 전송 선 연결 방식에 따라 나누면 직렬 통신과 병렬 통신으로 구분할 수 있다.동기 통신은 통신의 송수신기에 해당하는 두
    리포트 | 40페이지 | 2,500원 | 등록일 2021.09.23
  • 7장 순차논리회로 설계 및 구현(1) 예비
    캐리정보가 하위비트에서 상위비트로 한 번에 한 비트씩 물결치듯 전달되기 때문에 리플카운터라 부르며, 각 플립플롭이 동일 클럭을 사용하지 않으므로 비동기식 카운터라고 부른다. ... 따라서 클럭의 상승 에지 시점에서는 플립플롭의 동기식 입력신호 값이 변화해서는 안되며, 실제로 모든 플립플롭들은 상승 에지 시점 직전과 직후의 일정시간동안 플립플롭의 동기식 입력신호 ... 그런데 만일 클럭의 상승 에지 시점과 동일한 시간에 플립플롭의 동기식 입력 신호 값이 변하면 출력 값은 어떻게 될까?
    리포트 | 10페이지 | 1,000원 | 등록일 2021.01.06
  • 플립플롭을 이용한 카운터 예비보고서
    비동기식 SR플립플롭과 동기식 SR플립플롭을 설명하세요플립 플롭은 두 가지 상태 사이를 번갈아 저장하는 전자회로를 말한다. ... 21장 플립플롭을 이용한 카운터 및 시프트 레지스터실 험 일학 과학 번성 명1. ... 동기식 플립플롭은 기본 플립플롭의 회로에 게이트를 추가하여 플립플롭이 한 클럭 펄스 발생 기간 동안에만 입력에 응답하도록 구현한 회로이다.입력 S와 R은 클럭 펄스가 발생했을 때 제어
    리포트 | 3페이지 | 3,000원 | 등록일 2020.04.23 | 수정일 2020.10.26
  • 부산대 응전실1 4주차 예비보고서(A/D, D/A 변환기)
    3개가 사용됩니다. 7490은 비동기식 카운터이기 때문에 UP카운터만 사용됩니다. ... 전압 가산형 D/A 변환기를 수식을 활용하여 증명하시오.전압 가산형 D/A 변환기에서는 전압이 계단식으로 증가하는 계단형 파형이 나옵니다. ... 카운터 소자에 대해서 조사하고 동작원리를 간단히 설명하시오.
    리포트 | 9페이지 | 1,500원 | 등록일 2022.04.13
  • 전자공학과 지거국 편입 면접대비 총정리 자료
    (동기식 or 비동기식) 카운터에서 플립플롭이 N개 일 때, 딜레이 시간은 몇 ns인가? ... 리플 카운터 / 동기카운터리플 카운터 : 플립플롭의 출력의 변화가 다른 플립플롭들을 트리거 하는 소스 역할을 한다.동기카운터 : 모든 플립플롭들의 클럭 입력은 공통된 클럭 신호를 ... CPU 내의 연산에서 중간 결과를 임시보관하는 데 사용됨.Q. 카운터를 두 종류로 나누면?A.
    자기소개서 | 27페이지 | 25,000원 | 등록일 2022.03.03 | 수정일 2023.01.30
  • 조합 논리회로와 순서 논리회로의 종류 및 특징(회로) 조사
    -신호의 타이밍에 따라 동기식과 비동기식으로 나누어진다.(3) 동기식과 비동기식-동기식 : 클록 펄스(Clock Pulse)가 들어오는 시점에서 동기화되는 회로이다. ... -비동기식 : 시간에 관계 없이 단지 입력이 변하는 순서에 따라 동작하는 논리회로이다. ... 카운터(Counter) : 클럭 펄스를 세어서 수치를 처리하기 위한 논리 회로 (디지털 회로)이다.?
    리포트 | 4페이지 | 1,000원 | 등록일 2020.12.16
  • 컴퓨터구조(전자계산시 논리회로에서 조합논리회로와 순서논리회로의 차이점)
    비동기식으로 나누어지며 동기식은 클럭이 있었서 클럭이 들어갈 때만 동작을 하는 것이고 비동기식은 클럭이 없는 것이다.2) RS플립플롭두 개의 NAND 게이트로 이루어져 있다. ... 표시한다.1) 플립플롭플립플롭은 1비트(0or1)의 정보를 기억할 수 있는 최소의 기억 소자이며 전원이 공급되면 신호를 받을 때까지 현재 상태를 유지하는 논리회로이다.플립플롭은 동기식과 ... 주로 카운터 회로로 많이 사용되며 누를 때마다 ON, OFF가 교차되는 스위치에 이용된다.
    리포트 | 4페이지 | 2,000원 | 등록일 2023.09.13
  • 서강대학교 디지털논리회로실험 - 실험 7. Finite State Machines 결과 보고서
    [표 5][그림 15][그림 16][그림 17]6) 퀴즈 3번의 회로를 schematic으로 구현하여 시뮬레이션D 플립플롭을 이용하여 4-bit 비동기 Up 카운터를 설계한다. ... Finite state machine (FSM) 회로를 설계하고 분석할 수 있는 능력을 갖춘다.2) Mealy와 Moore state machine을 구분하고 각각의 특성을 이해한다.3) 비동기 ... 각 플림플롭의 입력에 대한 식은 아래와 같다.[그림 18]이를 바탕으로 구현한 회로는 [그림 19]와 같다.
    리포트 | 10페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • [A+보고서] 회로실험 플립플롭의 기능 예비보고서
    클럭에 상관 없이 움직이는(데이터를 저장하는) 것은 래치(비동기식)라 하고, 클럭이 riding edge일 때만 데이터를 입력받는 것은 플립플롭(동기식)이라 한다.(1) 기본 flip-flop플립플롭 ... 입력이 들어오면 바로 출력을 보여주는 비동기식 회로이고, 읽기,쓰기가 동시에 이루어지지 않는다.Flip Flop 래치의 한 구성요소이고, 비트의 정보를 기억할 수 있는 회로로 컴퓨터 ... S=1, R=1이면 이 조건은 동시에 출력을 세트와 리세트 시키기 때문에 그 결과 출력 상태는 예측할 수 없다.
    리포트 | 7페이지 | 1,500원 | 등록일 2022.12.24
  • 마이크로프로세서+5주차+결과보고서 실습7 Timer and counter 응용코드 설명
    병목현상을 최소화 시키기 위해서 이런식으로 분주비를 곱해준다.7372800 이 너무 커서 1초 하는데 너무 큼(0.01) 그래서 1024로 나눠줘서 7200 으로 나눠줘서 72 헤르츠 ... 가독성을 위해서 이런식으로 작성을 한다.3. ... 12019' 마이크로프로세서 실험 및 설계2019년 마이크로프로세서 실험 및 설계5주차 실험보고서실습7 : Timer and counter 응용코드 설명 < 결과 보고서>11.
    리포트 | 6페이지 | 2,000원 | 등록일 2020.01.02 | 수정일 2020.11.12
  • SoC 보고서 - 1.동기통신(PS2)
    보통 동기는 클록 신호로 맞춘다. 데이터 외에 동기 신호도 송수신 해야 하므로 선로가 하나 더 필요하다는 단점이 있지만, 비동기식에 비해 전송효율이 높다는 장점이 있다. ... 비동기 통신은 두 대상의 동기를 맞추지 않고 통신하는 방법이다. ... 전송 방식으로 나눈다면 동기 통신과 비동기 통신 방법이 있고, 전송 선 연결 방식에 따라 나누면 직렬 통신과 병렬 통신으로 구분할 수 있다.동기 통신은 통신의 송수신기에 해당하는 두
    리포트 | 22페이지 | 2,500원 | 등록일 2021.09.23
  • 서울시립대 전전설2 Lab-06 결과리포트 (2020 최신)
    이런식으로 총 4번 clock이 rising하면 네 비트 모두에 1이 출력된다.동기식 계수기는 clock이 인가될 때마다 값을 증감하는 회로로 주파수 분주기, 타이밍 제어신호 생성 ... 동기식 계수기는 모든 플립플롭이 공통된 clock에 의해 작동되므로 설계가 용이하고 동작이 빠르다는 장점이 있다. ... 본 회로와 같이 reset이 clock보다 더 우선권을 갖는 경우 reset을 비동기 reset이라 부른다.Sequential logic은 기본적으로 always 구문으로만 모듈 작성이
    리포트 | 21페이지 | 1,500원 | 등록일 2021.09.10
  • 시립대 전전설2 A+ 6주차 예비레포트
    공통 클럭에 이하여 구동되어 설계가 용이하고 동작이 빠름Verilog HDL의 +/- 연산자를 이용하여 쉽게 모델링이 가능함Ex) 8-bit Up CounterActive-low 비동기 ... 입력 시퀀스 사용) 후 장비 동작 확인하시오.CLK은 1Hz입력 (전용 클럭 포트 사용, Pin 번호 B6)출력: LED1~4 (LED1이 MSB, modulo-16 방식으로 동작)비동기 ... 함9) 4-bit SIPO Register4비트 직렬입력/병렬출력(SIPO; Single-Input-Parallel-Output) 레지스터10) Synchronous Counter동기
    리포트 | 30페이지 | 2,000원 | 등록일 2024.09.08
  • 동원그룹 합격 자기소개서
    [안주하지 않는 종합 식품 기업]식자재 유통업은 매년 5.6%의 성장을 거듭하고 있는 사업입니다. ... 카운터는 대출업무로 인한 교대근무 때문에 다른 업무가 곤란한 것을 파악했습니다. ... 커뮤니케이션으로 물류비용을 최소화할 수 있는 전략을 기획하는 동원의 가족이 되겠습니다.동원그룹과 본인이 지원한 회사에 대해 본인이 가지고 있는 이미지와 느낌을 자유로이 서술하고, 지원동기
    자기소개서 | 4페이지 | 3,000원 | 등록일 2023.06.27
  • 부경대 전자공학과 면접 기출 4개년(2016년 ~ 2020년도)
    비반전 연산증폭기 Vin =4V, Rin =1kOMEGA , Rf =2kOMEGA , Vout = ? ... 배열주고 결과값 구하기 (C언어 / 포인터도 하나 들어가 있었음)필수로 공부해야할 개념제가 아래에 적어놓은 개념들은 적어도 확실하게 알고 시험에 응시하셔야하고 추가적으로 중요한 부분들도 ... 내적, 외적, 단위벡터, 좌표계변환- 원통, 구 체적 / 내부, 외부 전계- 앙페르법칙, 페러데이 법칙, 쿨롱의 법칙, 비오사바르 법칙 설명- 맥스웰방정식 4가지 설명 (미분, 적분식까지
    시험자료 | 8페이지 | 9,000원 | 등록일 2019.12.03 | 수정일 2020.12.30
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab06(결과) / 2021년도(대면) / A+
    다음의 특징을 가지는 4-bit counter를 설계하고 시뮬레이션 후 장비 동작을 확인하시오.- CLK은 1Hz 입력- 출력 : LED 4개 (module-16 방식으로 동작)- 비동기 ... 계수기는 클럭펄스가 인가될 때마다 값을 증가/감소 시키는 회로이며, 주파수 분주기, 타이밍 제어신호 생성 등에 활용.- 동기식 계수기는 모든 플립플롭이 공통 클럭에 의하여 구동되어 ... 직렬입력 / 병렬출력 레지스터- 4비트 SIPOCLRNCLKDinQ0Q1Q2Q30XX*************100111110111111(4) Synchronous Counter- 동기
    리포트 | 18페이지 | 2,000원 | 등록일 2022.07.16
  • 플립플롭 실험보고서
    의 특성식을 가지며, T 플립플롭은 카운터 및 주파수 분주회로 등의 스테이트 머신 설계에 유용하게 사용된다.3. ... 레지스터는 CPU 내의 연산에서 중간 결과를 임시 보관하는 데 사용되며 다양한 종류가 있다.또한 동기(synchronous)와 비동기(asynchronous)입력이 있는데, 클록과 ... 상관없이 데이터가 입력되는 경우, 비동기 입력이라고 하고 클록에 따라 데이터가 입력되는 것을 동기입력이라고 한다.
    리포트 | 12페이지 | 1,000원 | 등록일 2020.04.26
  • 텀프로젝트 디지털논리실험및설계 [ 주차장 카운트 ] A+자료
    비동기 (클럭에 무관) 또는 동기적으로 카운터의 시작점을미리 설정할 수 있는 카운터이며 전파지연 때문에 FF들이 동시에 트리거 되지 않음. ... 시뮬레이션 및 실험결과 분석처음 시뮬레이션을 완성했을 때와 브레드보드에 구현했을 때의 차이점은 캐리를 0으로 두지 않았을 때에 차이가 발생했다. ... 동기 및 목적일상생활에 자동차를 끌고 나갈 때 가장 문제가 되는 것이 주차 문제이다.
    시험자료 | 19페이지 | 6,500원 | 등록일 2023.12.15
  • 텀프로젝트 RC카 마이크로프로세서 Atmega128
    가능하다.ATmega128의 USART의 특징은 아래로 살펴볼 수 있다.- 2개의 USART(USART0, USART1) 채널- 송수신을 동시에 할 수 있는 전이중방식의 통신모드 지원- 비동기식 ... 또는 동기식의 통신모드 지원- 동기식으로 동작하는 마스터 또는 슬레이브 모드 지원- 고분해능의 Baud Rate 발진기 내장 (9600, 115,200bps 등)- 짝수 또는 홀수 ... 결과 및 고찰1. 주제, 팀원 역할 분담주제 : 블루투스를 이용한 RC카- 팀원 역할 분담2.
    시험자료 | 32페이지 | 10,000원 | 등록일 2023.12.15
  • 언택스 서비스 사례 [언택트,비대면,드라이브 스루,무인주문,온라인 교육]
    언택트 마케팅을 진행하는 브랜드는 웹드라마 및 브랜디드 콘텐츠 제작, 캠페인 진행, 온라인 광고 게재 등 콘텐츠를 통해 비대면으로 소비자와 소통한다. ... 실제로 스타벅스커피 코리아는 올해 1월부터 2월까지 드라이브 스루 매장을 방문해 주문하는 건수가 지난해 동기간 대비 32% 증가했다.2) 무인주문 시스템KFC는 2017년 키오스크를 ... 가맹점은 키오스크 설치·관리, 카운터 인력 고용에 따른 비용 부담을 줄일 수 있다.
    리포트 | 6페이지 | 2,500원 | 등록일 2020.05.01
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:18 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대