• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,037)
  • 리포트(947)
  • 시험자료(45)
  • 방송통신대(26)
  • 자기소개서(16)
  • 논문(2)
  • 서식(1)

"순서 논리회로 설계" 검색결과 61-80 / 1,037건

  • 서울시립대학교 전전설2 2주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    Results of Lab 1.AND Gate 로직 설계- 진리표ABX000010100111- 실험 결과 (아래 사진은 진리표의 순서와 동일하다.)나. ... 아래 사진은 진리표의 순서와 동일하다.)Results of Lab 4.SkipResults of Lab 5.Single-bit Full Adder 로직 설계Demo inputA : ... Results of Lab 2.Single-bit half Adder 로직 설계- 진리표ABCS0*************10- 실험 결과 (아래 사진은 진리표의 순서와 동일하다.)Results
    리포트 | 27페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • 순차논리회로설계 결과레포트
    [이론내용]▣ 순차논리회로와 상태도▷ 상태도 (FSM : Finite State Machine)- 조합논리회로만으로 디지털 논리회로설계하는 것이 쉽지 않다.여기서 조합논리회로란, ... 전자공학실험3 Chap4 순차논리회로 설계[Section 01]간단한 상태도의 구현[학습목표]· 순차논리 회로설계하기 위해 FSM도(상태도)를 작성하고, Verilog, VHDL로 ... 설계하는 과정을 공부한다.· 설계된 순차논리 회로를 시뮬레이션으로 설계를 검증하고 실습키트에 동작을 확인한다.
    리포트 | 10페이지 | 3,000원 | 등록일 2021.06.10 | 수정일 2022.04.18
  • 실험3. 직렬 및 병렬 다이오드 구조
    그래서 전반적인 회로 자체의 이해 이후의 실험은 보다 빠르게 진행할 수 있었다.또한 각 다이오드의 문턱전압을 이용하여 AND 등의 논리 게이트를 설계하였는데, 다이오드의 이러한 성질을 ... 양논리 AND게이트3.9 회로의 저항을 측정하라.R = 2.1 k ohmsb. ... 고찰본 실험의 목적은 다이오드가 활발히 사용된 직렬과 병렬회로설계하고 측정하는 것이었다.
    리포트 | 11페이지 | 9,000원 | 등록일 2022.10.01
  • 홍익대학교 전전 실험1 부호기 예비보고서
    이 론논리회로는 일반적으로 조합논리회로순서논리회로로 구분된다. ... 조합논리회로는 실험에서 제시된 기본논리게이트들의 조합을 통해서 구성된 논리회로이고, 순서논리회로는 입력 에서는 조합논리회로에 관해서 실험하고, 순서논리회로는 다음 실험에서 다루도록 ... 복호기를 구성하여 회로도의 747 복호기와 교체한 후 절차 (3)을 반복하여 이 설계가 옳게 되었음을 확인한다.6.
    리포트 | 9페이지 | 2,000원 | 등록일 2020.12.25
  • 홍익대_디지털논리회로실험_3주차 예비보고서_A+
    디지털 논리실험 및 설계 3주차 예비보고서실험 준비1.1 기본 실험 (1)의 회로가 2-bit 복호기인 이유를 설명하시오.기본 실험 (1)의 회로는 입력 A,B를 받아 Y0, Y1, ... Y2, Y3 4개의 결과값을 출력하는 회로이다.Y0, Y1, Y2, Y3를 출력으로 하는 AND 게이트의 입력값은 순서대로 (), (A,이다. ... 다음과 같다. n개의 입력으로 들어오는 데이터를 받아 그것을 숫자로 보고 2의 n제곱 개의 출력 회선 중 그 숫자에 해당되는 번호에만 1을 내보내고 나머지는 모두 0을 내보내는 논리
    리포트 | 6페이지 | 1,500원 | 등록일 2024.05.15
  • 전기회로설계실습 실습4 결과보고서
    일상 시엔 교재에서 다루는 회로보다 훨씬 복잡하게 설계회로가 많기에 이러한 회로를 분석하기 위해선 Thevenin 정리를 충분히 숙지하여야 한다.이번 실습의 순서는 다음과 같다.1 ... (또는 저항은 소모성 소자이므로 직렬 연결된 여러 개의 저항이 있을 경우 하나의 저항에서 전압을 소모하면 다음 저항에선 당연히 인가된 전압보다 더 적은 전압이 걸릴 수밖에 없는 논리로도 ... 설계실습 4.Thevenin 등가회로 설계* 실습 목적Thevenin과 Norton의 정리를 이해하고 이를 이용하여 등가회로설계하는 방법을 익힌다.1.
    리포트 | 4페이지 | 1,000원 | 등록일 2024.08.16
  • 실험7. 플립플롭 예비보고서
    이것은 되먹임 회로에서 출력과 입력간의 레이스 조건에 의해 임의로 결정된다. 회로 설계자 입장에서는 초기 Q의 상태를 예측할 수가 없다. ... 래치는 입력되는 순간 바로 반영하기 때문에 플립플롭처럼 엣지의 시점을 결정하는 논리회로가 없어도 되므로 래치의 논리회로가 간단하다. 플립플롭과 래치는 구조상 휘발성이다. ... 조합논리회로에 비해 플립플롭은 이전상태를 계속 유지하여 저장한다.
    리포트 | 4페이지 | 1,500원 | 등록일 2022.05.26
  • 기초전자회로실험 - Moore & Mealy Machine 예비레포트
    마지막으로 최적화된결과로 적절한 논리 회로도를 설계한다. [2][3]2) Moore Machine & Mealy Machine :무어 머신(Moore Machine)은 순서논리회로의 ... 그 다음 시뮬레이션을 실행시켜서 알고리즘이 맞게 작성되었는지 확인한다.5) 마지막으로, FPGA에 USB를 통해 설계회로의 코드를 주입하고, 보드의 동작을 확인한다. ... 반면 밀리 머신(Mealy Machine)은 출력이 현재상태와 입력의 함수인 회로이고 출력은 상태간을 지나가는 화살선의 위에 표시한다.[4] 무어 머신은 state machine 설계
    리포트 | 7페이지 | 2,000원 | 등록일 2021.02.27
  • SR플립플롭을 이용한 간단한 도어락과 조도센서를 이용한 LED PPT
    논리회로 요소설계 프로젝트 SR 플립플롭을 이용한 간단한 도어락과 조도센서를 이용한 LED목차프로젝트 개요 계획 저장할 수 있는 성질을 가진 플립플롭을 사용하여 간단한 도어락을 만들어 ... 계속해서 Q 와 Q’ 의 값이 의도대로 나오지 않아 계속해서 수정과 수정을 거듭하여 나온 회로도이다 . ... 누른 버튼을 기억하도록 해야 하고 이것을 가능하게 해주는 것이 SR 플립플롭 LED 조명 시간 완전 어두울 때 조명이 되도록 설정 단점 사용자가 누르는 순서가 상관 X 즉 , 비밀번호가
    리포트 | 10페이지 | 3,000원 | 등록일 2023.07.26
  • 서강대학교 21년도 디지털논리회로실험 3주차 결과레포트 (A+자료) - Decoder, 7-Segment Display
    마찬가지의 패턴이 출력됨을 확인할 수 있었다.검토사항1)Combinational 논리 회로의 최소화는 회로의 gate의 숫자나 wire의 숫자, 길이를 줄여주게 된다. ... 설계하면그림 36 – ISE로 회로 설계이렇게 된다.이 때 I0~I2는 myDAQ의 DIO0~DIO2가 연결될 것이고, 이들은 Cmod S6의 46~48핀에 할당되게끔 했다.A~G ... 디지털논리회로실험 3주차 실험 보고서목적- 일반적인 binary decoder와 encoder의 동작 원리를 이해한다.- 7-segment decoder의 동작원리를 이해한다.- 표시장치
    리포트 | 34페이지 | 2,000원 | 등록일 2022.09.18
  • 논리회로실험 카운터 설계
    논리회로설계 실험 예비보고서 #7실험 7. 카운터 설계1. ... 설계에 따라 설계할 수 있다.- 카운터의 동작 시 전파지연시간이 없다.- 아래의 그림은 4비트 mod - 16 리플 카운터의 논리회로도 이다.(3) 비동기식 카운터- 카운터 내의 ... , 미리 정해진 순서대로 상태가 변한다.- 컴퓨터가 여러 가지 동작을 수행하는 데에 필요한 타이밍 신호를 제공한다.- 카운터는 비트 수에 따라서 최대 카운트가 결정된다.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab01(예비) / 2021년도(대면) / A+
    실험의 목적TTL의 특성을 이해하고 그를 활용하여 OR 게이트 논리 회로, XOR 게이트 논리 회로, 반가산기 회로, 전가산기 회로설계 및 실험한다.나. ... 동기(symchronous)식 순차 논리회로, 클럭펄스에 영향을 받지 않고 현재 입력되는 입력 값이 변화하는 순서에 따라 동작하는 논리회로를 비동기(asynchronous)식 순차 ... 순차 논리 회로- 는 순차 논리 회로의 블록도이다.
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • [방송통신대학교] 디지털논리회로 기말시험(온라인)
    과제명:디지털 논리회로 문제풀이- 이하 과제 및 답안 작성 (※ A4용지 편집 사용)1. 2개의 D플립플롭으로 구성된 순서논리회로의 입력방정식이 다음과 같을 때 상태표, 상태도, 논리회로도를 ... 다음 그림의 상태도와 같이 0에서 7까지 중에서 1, 3, 5가 빠진 경우를 나타내는 임의순서를 갖는 카운터를 설계하시오. ... 작성하면 다음과 같다.- 논리회로도3.
    방송통신대 | 8페이지 | 4,500원 | 등록일 2022.03.01 | 수정일 2022.03.10
  • [건국대학교 논리회로 A+][2024 Ver] 14주차
    논리회로 실습과제14주차1. ... 앞으로 더 열심히 논리회로를 공부해야겠다. ... 더해지면서 들어갔다.고찰단순히 이론적으로만 공부하는 것에서 멈추지 않고, 지금까지 배운 내용들을 활용하여 직접 다양한 레지스터들을 설계하는 과정을 통해 한 단계 더 성장하는 것이
    리포트 | 12페이지 | 5,000원 | 등록일 2024.08.14
  • 전전설2 실험2 예비보고서
    것이고, 단순한 논리회로설계한다면 CPLD를 이용할 것이다.- 일반 FPGA 는 어디로 배선될지 모르지만(로직 활용도에서 우수) CPLD는 가운데에서 양쪽 블록들로 배선되기 때문에 ... A와 더해지는 B 비트는 왼쪽에서 오른쪽으로 증가하는 순서로 지정되고, 캐리는 풀에더를 통해 체인을 통해 연결된다. ... 심볼을 직접 불러와서 배치하고 연결함으로써 디지털 회로를 디자인한다.Schematic 방식으로 설계한 logic을 최종적으로 FPGA Device Configuration 까지 수행해서
    리포트 | 8페이지 | 2,000원 | 등록일 2022.11.30
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab04(예비) / 2021년도(대면) / A+
    연속 할당문- assign문을 이용하여 net형 객체에 값을 할당- 우변의 값에 변화(event)가 발생했을 때 좌변의 객체에 값의 할당이 일어남- 단순한 논리 표현을 이용한 조합논리회로 ... nonblocking 할당문에 따라 실행 방식이 달라짐④ 시뮬레이션이 진행되는 동안 무한히 반복 실행됨b. always 구문의 sensitivity_list(감지신호목록)- 조합논리회로 ... 실험의 목적Verilog HDL 언어를 사용하여 Combinational Logic을 설계 및 실험하고, 설계한 로직을 시뮬레이션하기 위한 벤치를 작성하고 장비로 동작을 확인한다.나
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • 전자회로실험 실험5. 차동 증폭기 예비 보고서
    실험방법 및 순서(생략)5. 과제55.1 하단 Differential Amp를 설계 (DC해석, Tran 해석 시뮬 결과 첨부) ... 그보다는 오히려 반드시 폐쇄 루프 이득이 유한하고, 예측 가능하며, 안정한 회로설계하기 위해 연상 증폭기에 연결할 적당한 귀환 회로망을 고안해야 할 것이다.즉, 차동증폭기는 두 ... 연산 증폭기나 Emitter coupled 논리 게이트의 입력단에 주로 쓰인다. 여기서 한 개의 입력단자를 가진 증폭기도 차동 증폭기의 범주에 포함된다고 볼 수 있다.
    리포트 | 13페이지 | 2,000원 | 등록일 2022.12.06
  • 디지털 실험 8장(디코더를 이용한 조합논리) 결과 보고서
    예를 들어 산술 논리 연산 장치(ALU)의 경우 수학적인 계산은 조합 논리로 구성하고 처리 순서를 조절하는 데는 순차 논리를 쓰는 식이다.Active-Low(부논리 회로)정논리 회로는 ... 실험순서1) 그림 8-6은 부분적으로 완성된 회로이다. 74LS139A는 상태 디코더이고, 부논리 NOR 게이트로 그려진 AND 게이트는 출력 논리를 형성한다. ... 예제와 같이 디코더의 1Y0출력(상태00)은 주도로에서는 녹색등, 골목길에서는 붉은색등과 연결된다.2) 회로설계하고 진리표의 모든 조합들을 시험한다.
    리포트 | 9페이지 | 3,000원 | 등록일 2019.12.17
  • [디지털공학개론]여러 가지 플립플롭을 이용한 3비트 2진 카운터 설계
    IT CookBook ppt, “동기순서논리회로”,https://www.google.co.kr/url? ... 플립플롭을 활용하여 3Bit 2진 카운터 회로 설계①. ... 디지털공학개론여러 가지 플립플롭을 이용한3비트 2진 카운터 설계1. 3Bit 카운터 회로①.
    리포트 | 8페이지 | 9,000원 | 등록일 2021.05.07
  • 부산대학교 기전실 스톱워치 설계
    기본 설계Part 3. 추가 기능 설계Part 4. 간단한 팁논리회로 및 기초전자전기실험으로 배운 지식을 활용하여 스톱워치를 설계하는 과정입니다. ... 김재호, 김성신, 남일구 공저 / 논리회로 설계 및 실험 / 부산대학교출판문화원 / 2021년 02월 26일3. ... 논리회로(EE30396) 강의 자료제 2장 조합회로 시스템제 3장 카르노 맵제 5장 대형 조합회로제 6장 순차시스템의 해석제 7장 순차회로 시스템의 설계4.
    리포트 | 7페이지 | 2,500원 | 등록일 2021.07.20 | 수정일 2023.06.06
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:25 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대