• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,037)
  • 리포트(947)
  • 시험자료(45)
  • 방송통신대(26)
  • 자기소개서(16)
  • 논문(2)
  • 서식(1)

"순서 논리회로 설계" 검색결과 101-120 / 1,037건

  • [디지털공학개론]JK플립플롭이용 3비트2진 카운터 T플립플롭을 이용하여 3비트 2진 카운터를 설계 과정
    참고 문헌 및 자료(ㄱ) http://rockdrumy.tistory.com/298 [드럼치는 프로그래머](ㄴ) IT CookBook ppt, “동기순서논리회로”,https://www.google.co.kr ... 플립플롭을 활용하여 3Bit 2진 카운터 회로 설계①. ... [T 플립플롭의 진리표]TQ비고00 → 0불변10 → 1보수(Toggle)[T 플립플롭의 회로도]③. JK플립플롭을 활용한 3 Bit 2진 카운터 설계 과정ⅰ.
    리포트 | 7페이지 | 9,000원 | 등록일 2021.05.07
  • [건국대학교 논리회로 A+][2024 Ver] 4주차
    논리회로 실습과제4주차목차MUX_2x1_4Bit_P 회로 생성 및 구현MUX_2x1_4Bit_B 회로 생성 및 구현Splitter_Test 회로 생성을 통한 핀 타입, 버스 타입 비교MUX ... circuit을 선택하여 MUX_2x1_4Bit_B 라는 회로를 생성한 후, 캔버스에 앞서 설계한 MUX_2x1_4Bit_P 회로들을 모두 복사해서 붙여 넣었다.② 입력핀2개, 출력핀 ... circuit을 선택하여 MUX_2x1_4Bit_T 라는 회로를 생성한 후, 캔버스에 앞서 설계한 MUX_2x1_4Bit_B 회로들을 모두 복사해서 붙여 넣었다.② 입력 버스선과 출력
    리포트 | 11페이지 | 5,000원 | 등록일 2024.08.14
  • [부산대학교][전기공학과][어드벤처디자인] 10장 Flip-flop 및 Shift register(10주차 결과보고서) A+
    목적실제로 Flipflop을 Gate로써 구성하여 그 동작 원리를 설명하고 Flipflop를 이용하여 Shift Register를 구성하는 것이 이 실험의 목적이다실험 방법그림에 나타난 논리회로를 ... 그 동작 상태를 점검하라.실험 결과그림을 참고하여 LS7400과 LS7404를 이용하여 회로를 구성하였다. ... 그래서 불빛이 옅게 나타났다.동작 상태 점검을 위해 D, Clock의 상태를 00, 10, 11, 01, 10 순서대로 바꾸었다.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.04.25
  • 산술논리연산회로 실험보고서
    ALU 내의 비트의 흐름과, 그 안에서 수행된 연산들은 게이트 회로에 의해 통제되는데, 게이트 회로는 다시 각 연산코드에 대해 특별한 알고리즘이나 순서를 사용하는 순차 논리 장치(sequence ... 앞에서 설명된 것과 다른 형태로 산술연산회로설계하라.4. ... 실험방법 및 순서5.1 해당 실험의 기초 이론을 참고하여 [그림 6-2]의 산술연산회로를 결선하라.
    리포트 | 9페이지 | 1,000원 | 등록일 2020.04.26
  • 홍익대 디지털논리실험및설계 1주차 예비보고서 A+
    디지털 논리실험 및 설계 1주차 예비보고서1. ... A’와 B를 AND 게이트에 입력한 출력값은 순서대로 0, 1, 0, 0 이고 ... -전자 회로에서 (+)극과 (-)극이 직접 연결되면 ‘쇼트’가 일어나 회로에 갑자기 큰 전류가 흘러 부품이 모두 타버릴 수 있다.1.3 기본 실험 (4)의 회로를 구현하시오.1.4
    리포트 | 6페이지 | 1,000원 | 등록일 2023.09.18
  • 부산대 기계공학응용실험 PLC응용실험 보고서 A+
    이런 컨트롤러는 작은 전자 릴레이 시스템을 고체회로 제어시스템으로 변환시키기 위한 목적으로 설계되었다. ... 입력과 출력사이의 관계와 동작을 정해주는 로직이나 가동순서는 PC를 이용하여 컴퓨터프로그래밍으로 수행할 수도 있으며, ATmega128과 같은 마이크로컴퓨터를 이용하여 설계할 수도 ... 래더다이어그램은 논리 AND 연산자의 직렬회로논리 OR의 병렬회로에 분석이 용이하다.(3) PLC의 작동원리PLC는 입출력장치 및 프로그램으로 기계나 프로세서 작업을 제어하는 장치가
    리포트 | 10페이지 | 2,000원 | 등록일 2020.06.08
  • 디지털 논리회로의 전압특성과 지연시간 예비레포트
    따라서 디지털 논리회로설계할 때 전력손실을 줄이려면 되도록 Bit의 변화가 적도록 상태할당(State Assignment)을 하여야 한다. ... 실험 순서(1) 동작 전압측정그림 22-4의 회로를 구성하고 TTL과 CMOS 2종류를 실험한다. ... 아날로그 회로에서 신호들의 값은 연속이지만, 디지털 논리회로는 0(False)과 1(True)의 2개의 논리 값만 사용한다. 논리는 전기회로에서 전압으로 처리된다.
    리포트 | 3페이지 | 1,000원 | 등록일 2022.04.28
  • 기초전자회로실험 - FPGA Implementation of Shift Register (쉬프트레지스터) 예비레포트
    카운터와 의 차이점은 특정한 상태 순서를 가지고 있지 않다는 점이다. ... 베릴로그의 게이트 수준의 회로 모델링에는 and, nand, or, nor, xor, xnor 등의 논리 게이트가 사용되며 0과 1로 구성된 두 개 이상의 입력과 하나의 출력을 가진다 ... 참이면1b’1이고 거짓이면 1‘b0이 되는 관계 연산자, 논리조건을 표현하는데 사용하는 논리 연산자, 수식을 나하게 되는데 다음 상태의 count 값은 현재 상태의 count 값에
    리포트 | 12페이지 | 2,000원 | 등록일 2021.02.27
  • 빙그레 생산관리직 합격자소서
    논리회로설계를 하는 데 필요한 카르노맵을 유튜브와 블로그를 통해 공부했습니다. 변수가 많아질수록 논리회로를 간소화시키기 어려워 예제를 많이 풀며 연습했습니다. ... 순서를 뒤집어 하나씩 분석한 결과, metal1, 2가 겹쳐졌기 때문임을 알아내어 metal3를 추가해 문제를 해결하며 설계에 대한 이해를 높였습니다. 둘째. ... VLSI공학실습에서 Magic과 Ngspice라는 tool로 기본논리게이트를 이용해 4bit counter layout을 설계했습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2023.10.07
  • 논리회로 연습문제 1주차-1
    논리회로 연습문제 1주차 - 1전기공학과1. 2진논리[Binary logic]Ex1) 명제의 판단 - 이 예시를 사용한 이유는 A라는 명제가 있을 때 A는 참 혹은 거짓이라는 값을 ... 공장에서 사용하는 기계에는 수많은 ×·스위치가 있지만, 메인인 전원 스위치가 off일 시에 아무것도 작동하지 않으며, on에 전원 스위치가 위치할 경우 기계가 제 역할을 할 수 있도록 설계한 ... 1로만 표현가능하기 때문입니다.Ex2) 컴퓨터 언어 중 기계어(machine langugae) - 이 예시를 사용한 이유는 컴퓨터에 명령을 입력 시 그 일을 해결하기 위해 처리방법과 순서
    리포트 | 2페이지 | 1,000원 | 등록일 2022.04.15
  • 서강대학교 디지털논리회로실험 레포트 7주차
    이론3-1) countersCounter는 그림 1과 같이 clock에 의해 단일 cycle을 반복적으로 수행하는 순차 논리회로이다. ... Irdec을 설계회로도사진 SEQ 사진 \* ARABIC 11. ... 그림 16의 회로도STEP 6) DIP_SW0을 HIGH로 set한 상태에서 LED들의 변화를 기록한다.DIP_SW0을 HIGH로 set했을 경우, LED3~LED0가 0000~1111순서대로
    리포트 | 26페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 디지털공학 실생활 사례
    순서논리회로는 조합논리회로에 입력과 출력의 신호를 기억하는 플립플롭(filp-flop) 또는 메모리(memory)를 부가한 논리회로로, 논리신호가 순차적으로 발생한다. ... 반면에 UP/DOWN 버튼은 채널 선택이 이전에 누른 버튼과 관계되기 때문에 순서논리회로에 해당한다.2-4. ... 개발자가 기계어 설계를 하고, 기계어를 수행하기 위해 마이크로코드를 작성한다. 이것을 디지털회로와 결합하여 동작을 하게 된다. 1억 개 이상의 논리게이트를 포함할 수도 있다.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.05.12
  • 에지트리거형 플립플롭 (D-, JK-, T-)의 특성 비교 및 설명
    논리 게이트는 AND, OR, NOT 등의 게이트가 사용될 수 있으며, 회로 구성은 게이트 선택 및 논리설계에 따라 다양할 수 있다. ... 클록 신호의 하강 에지에서는 동작이 없으므로 회로도에는 나타나지 않는다. 일반적으로 T-플립플롭의 회로도는 논리 게이트로 구성된다. ... 이와 같이 레벨 트리거는 입력 신호의 전압 레벨에 따라 동작을 결정하므로, 특정 전압 조건이 충족될 때만 동작을 수행하도록 설계된다.에지 트리거(Edge Trigger)는 디지털 회로에서
    리포트 | 7페이지 | 4,000원 | 등록일 2023.07.18
  • 서울시립대학교 전전설2 3주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    결과 (아래 사진은 진리표의 순서와 동일하다.)4. ... =’는 앞이 뒤의 값과 다른 경우 1, 같은 경우 0을 출력하는 논리 부호이다. 3 ! ... 번째 경우는 수행이 non-blocking으로 이루어진다.설명하자면, a에 b라는 값이 10ns후에 대입이 되고, 바로 위의 수행과는 전혀 상관없이 c1, 아니면 0을 출력하는 논리
    리포트 | 23페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • 광운대학교 전기공학실험 실험8. 숫자표시기와 응용 결과레포트 [참고용]
    실험순서(1) 예비보고서 (1)항에 해당하는 회로를 7400 NAND gate IC만을 사용하여 구현하고 테스트를 통해 그 입출력 사이의 진리표를 작성하라.ABO0O1O2O3예상값측정값오차예상값측정값오차예상값측정값오차예상값측정값오차0 ... 이때 디코더를 이용하여 BCD코드를 활용하고 여러 가지 디코더를 활용한 설계를 진행하여 숫자표시기-디코더 조합의 사용법을 완벽하게 익힌다.3. ... 공통캐소드형과 공통아노드형에 따라 정논리와 부논리로 나뉘며 공통캐소드형을 활용하는 부논리 LED는 저항을 거쳐 sink되는 과정이 필요하며, 이때 저항을 거치더라도 전류가 충분히 흐르도록
    리포트 | 9페이지 | 1,500원 | 등록일 2024.01.02
  • 시립대 전전설2 Velilog 결과리포트 6주차
    이를 이용해서 Serial-Input/Parallel-Output BCD to Excess-3 code Converter를 설계해 보았는데 순차 조합회로이다 보니 논리 조합회로 에서처럼 ... 원하는 값을 딱 넣을 수가 없고 순서대로 입력을 해주어야 한다는 점을 알 수 있었다. ... 순차 조합 회로 중에서도 LATCH, D FlipFlop, J-K FlipFlop, S-R FlipFlop 등의 지식을 이용해서 데이터 전송회로, 직렬입력/병렬출력 회로설계해보고
    리포트 | 14페이지 | 2,000원 | 등록일 2021.12.11
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab04(결과) / 2021년도(대면) / A+
    연속 할당문- assign문을 이용하여 net형 객체에 값을 할당- 우변의 값에 변화(event)가 발생했을 때 좌변의 객체에 값의 할당이 일어남- 단순한 논리 표현을 이용한 조합논리회로 ... 등의 프로시저(procedure) 내부에서 사용- 문장의 실행에 의해 좌변 variable에 값이 할당되는 소프트웨어적인 특성① 우변 수식의 event 발생과는 무관② 할당문들의 순서가 ... wire, tri, wand, wor, triand, trior, supply0, supply1, tri0, tri1, trireg- Default 자료형 : 1비트의 wire- 논리
    리포트 | 13페이지 | 2,000원 | 등록일 2022.07.16
  • 7주차 예비보고서- 디지털 시스템 설계 및 실험
    다음 표에서 3 옥타브가 우리가 일반적으로 사용하는 옥타브이다.예를 들어 PIEZO에 3옥타브의 “도”음이 울리도록 논리 회로설계한다고 하였을 때, PIEZO에261.6256Hz의 ... Q1과 Q2의 결과도 전 플립플롭의 1/2 주파수를 갖는 파형이 발생하는 것을 알 수 있다.위의 논리 회로는 주파수 분할 기능뿐만 아니라 2진 카운터로도 동작한다. ... 다음 그림은 이 J-K 플립플롭을 통한 주파수 분할에 대한 논리 회로를 나타낸 것이다. 3개의 J-K 플립플롭이 사용된 카운터로 3비트 2진 카운터라고 한다.Q0 플립플롭에서 발생한
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • 건설기술교육원-기본교육 과제-건설계획 및 설계실무의 이해 과목
    완성 된 프로젝트를 묘사한 청사진, 다이어그램 및 회로도는 매우 중요하며, 그러한 프로젝트가 없으면 좋은 시공 계획을 수행 할 수 없습니다. ... 이를 통해 프로젝트 관리자는 단계별 프로세스를 명확하게 수행하여 프로젝트의 각 단계가 올바른 순서로 실행되도록합니다. ... 좋은 계획은 효율성을 극대화하여 작업이 올바른 순서를 벗어나 완료된 경우 작업을 반복 할 필요가 없기 때문에 중요합니다.
    리포트 | 2페이지 | 3,000원 | 등록일 2021.12.15
  • 디지털 실험 9장(멀티플렉서를 이용한 조합논리) 결과 보고서
    회로설계하고 모든 가능한 입력을 점검하여 그것의 동작을 시험한다.3. ... ※추가내용Active-Low(부논리 회로)정논리 회로는 0을 꺼진 것으로 생각하는 회로이다. ... 논리회로와 진리표를 구성하면 아래와 같다.같은 방법으로 8*1 MUX의 진리표와 논리회로를 알아보자.
    리포트 | 12페이지 | 3,000원 | 등록일 2019.12.17
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:31 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대